半導體集成電路裝置及高頻功率放大器模塊的制作方法

            文檔序號:7541007閱讀:1225來源:國知局
            半導體集成電路裝置及高頻功率放大器模塊的制作方法
            【專利摘要】本發明提供一種能以較小的面積實現多個檢波方式的半導體集成電路裝置及高頻功率放大器模塊。例如,設有:包含多級放大電路(AMP4~AMP1)、多個電平檢測電路(DET4~DET1)、加法電路(ADD1、ADD2)等的對數檢波部分;以及包含電平檢測電路(DET6)等的線性檢波部分。而且,將來自對數檢波部分的輸出電流和來自線性檢波部分的輸出電流分別乘以不同的系數之后進行相加來實現多個檢波方式。具體而言,將來自對數檢波部分的輸出電流乘以6/5倍所得到的電流與來自線性檢波部分的輸出電流進行相加等來實現對數檢波方式,將來自對數檢波部分的輸出電流乘以1/5倍所得到的電流與來自線性檢波部分的輸出電流乘以3倍所得到的電流進行相加等來實現對數-線性檢波方式。
            【專利說明】半導體集成電路裝置及高頻功率放大器模塊
            【技術領域】
            [0001 ] 本發明涉及半導體集成電路裝置及高頻功率放大器模塊,尤其涉及適用于包括發送功率的檢波電路并與多個通信方式相對應的半導體集成電路裝置及高頻功率放大器模塊的有效的技術。
            【背景技術】
            [0002]例如,專利文獻I中示出了一種根據通信方式(GSM(注冊商標)、UMTS)分別包括不同的功率放大路徑的無線通信裝置。在專利文獻2中示出了一種使用動態范圍較寬的RSSI檢波電路的檢波結果來適當調節動態范圍較窄的熱敏式檢波電路的范圍的檢波電路專利文獻3中示出了一種結構,該結構包括:第一檢波器,該第一檢波器對末級放大電路的輸出功率進行檢測;以及第二檢波器,該第二檢波器對末級放大電路的源漏電壓進行檢測,該結構根據來自各檢波器的檢測信號的相加結果對來自前級的可變增益放大器的輸入功率進行控制。
            現有技術文獻 專利文獻
            [0003]專利文獻1:日本專利特開2006-324878號公報 專利文獻2:日本專利特開2001-211125號公報
            專利文獻3:日本專利特開2009-284034號公報

            【發明內容】

            發明所要解決的技術問題
            [0004]支持多個通信方式及多個頻帶的多模式(例如,GSM和W-CDMA的多個模式)、多頻帶(多個頻帶)無線通信終端(移動電話)在近年廣泛流通。作為通信方式,例如,可以列舉GSM(Global System for Mobile communications:全球移動通信系統)、EDGE(EnhancedData Rates for GSM Evolution:增強型數據速率 GSM 演進)、UMTS (Universal MobileTelecommunications System:通用移動通信系統)或 W-CDMA(Wideband Code DivisionMultiple Access:寬帶碼分多址)、LTE(Long Term Evolution:長期演進)等。EDGE 是對GSM 進行擴展后的方式,除了 GMSK (Gaussian filtered Minimum Shift Keying:高斯濾波最小頻移鍵控)調制方式以外,還使用對8PSK(phase shift keying:移相鍵控)進行了變形的調制方式。這樣的無線通信終端要求小型化、 以及對于外部環境變化能穩定地進行通?目。
            [0005]例如,在無線通信終端的發送系統中使用的高頻功率放大器模塊(功率放大器模塊)中,需要以下的特性:即使處于存在外部環境變化(溫度變化、電池電壓的變動、天線與空間的阻抗不匹配等)的狀態下,也能以通信標準內的值對進行了放大的輸出功率進行穩定的發送。因此,在功率放大器模塊內,為了抑制其輸出功率的偏差,通常包括高頻功率放大器(功率放大電路)(PA)、以及對其輸出功率信號(Pout)的大小進行檢測的檢波電路。檢波電路例如由利用與Pout的電磁耦合來生成檢測功率信號(Pdet)的定向耦合器(耦合器)、以及生成對應于該Pdet的大小的檢測電壓信號(Vdet)的功率檢測電路等所構成。
            [0006]在此情況下,通過本發明人等的研究,得以明白了以下的事項。首先,GSM模式中,通常,使用反饋控制方式來控制功率放大電路(PA)的輸出功率。具體而言,例如,利用誤差放大器來檢測出功率放大電路(PA)的輸出功率信號(Pout)的目標值(功率指示信號VRAMP)與通過檢波電路得到的Pout的檢測電壓信號(Vdet)的誤差,并對PA的增益進行反饋控制以使該誤差接近零。此時,若功率檢測電路的檢測靈敏度較低(即,若Pdet (Pout)與Vdet的關系不合適),則VRAMP對Pout的控制性下降,其結果是,存在Pout的偏差增大的可能性。在GSM模式中,由于需要大范圍的功率控制,因此,在低功率區域及高功率區域中,均優選使用能進行高靈敏度的功率檢測的檢波方式。
            [0007]另一方面,在EDGE模式中,通常,不使用上述那樣的反饋控制方式,檢測電壓信號(Vdet)從模塊外部端子輸出,在外部的位于PA前級的可變增益放大電路的增益控制中進行使用。在EDGE模式中,與GSM模式(GMSK調制)下的恒包絡線調制不同,會產生包絡線變動,為此需要進行線性放大,因此,使用這樣的方式。在該方式中,經由從模塊外部端子輸出的Vdet來替代性地進行Pout的功率測定,根據該測定結果來進行可變增益放大電路的增益控制(即、PA的輸入功率信號(Pin)的控制)。此時,需要預先掌握功率檢測電路的檢測靈敏度(Pdet(Pout)與Vdet的關系),若該關系變得復雜,則Pin的控制性下降,其結果是,存在Pout的偏差增大的可能性。因此,EDGE模式中,在功率檢測電路中,優選使用能以簡單的函數來表示Pdet (Pout)與Vdet的關系的檢波方式。
            [0008]另外,該Pdet (Pout)與Vdet的關系實際上會根據工藝偏差、各種環境偏差等而發生變動。因此,為了修正該變動量需要預先進行校準。在校準時,在預先確定的多個校準點進行實測,基于該結果計算出Pdet(Pout)與Vdet的近似式。此時,若Pdet (Pout)與Vdet的關系較為復雜,則存在校準點增多、該近似式的精度下降的可能性。因此,出于該觀點,在功率檢測電路中,也優選使用能以簡單的函數來表示Pdet(Pout)與Vdet的關系的檢波方式。
            [0009]由此,在抑制輸出功率信號(Pout)的偏差時,根據通信方式(模式),分別希望不同的功率檢測電路的檢波方式,其結果是,可能要求對每個模式使用不同的檢波方式。然而,在此情況下,通常會根據各檢波方式分別安裝互不相同的功率檢測電路,因此,有可能引起電路面積增大和無線通信終端的大型化。
            [0010]本發明是鑒于上述技術問題而完成的,其目的之一在于提供一種能以較小的面積實現多個檢波方式的半導體集成電路裝置及高頻功率放大器模塊。通過本說明書的敘述及附圖能清楚本發明的上述目的以及其它目的和新的特征。
            解決技術問題所采用的技術方案
            [0011]以下對本案所公開的發明中典型的實施方式的概要進行簡單的說明。
            [0012]本實施方式的半導體集成電路裝置包括:N個第一放大電路(AMP4?AMP1) ;N個第一電平檢測電路(DET4?DET1);第一加法電路(ADDlO);第二電平檢測電路(DET6);合成電路(SYNS);以及電流電壓轉換電路(IVC)。N個第一放大電路將第一功率信號(Pdet)作為輸入,以第一級(AMP4)、...、第N級(AMPl)的順序進行級聯連接,并分別具有相同的第一增益。N個第一電平檢測電路分別與N個第一放大電路相對應地進行設置,并分別根據N個第一放大電路的第一級、…、第N級的輸出電平來輸出電流。第一加法電路將N個第一電平檢測電路的輸出電流進行相加,并輸出成為該相加結果的第一電流。第二電平檢測電路中輸入第一功率信號(Pdet),并根據第一功率信號的電平輸出第二電流。合成電路生成將第一電流乘以第一值(WTl)所得到的結果即第三電流、以及乘以比第一值要小的第二值(WT2)所得到的結果即第四電流,生成將第二電流乘以第三值(WT3)所得到的結果即第五電流、以及乘以比第三值要小的第四值(WT4)所得到的結果即第六電流,并輸出將第三電流和第四電流中的某一個電流與第五電流和第六電流中的某一個電流相加(ADDll)得到的電流。電流電壓轉換電路將合成電路的輸出電流轉換為電壓。 [0013]由此,能以較小的面積實現多個檢波方式。具體而言,例如,能利用將第三電流與第六電流進行相加的第一模式進行對數檢波,能利用將第四電流與第五電流進行相加的第二模式進行對數-線性檢波。
            [0014]此外,本實施方式的半導體集成電路裝置包括:N個第一放大電路;第二放大電路;N個第一電平檢測電路;第二及第三電平檢測電路;第一~第四加法電路;第一~第五乘法電路;第一及第二控制電路;以及電流電壓轉換電路。N個第一放大電路將第一功率信號(Pdet)作為輸入,以第一級(AMP4)、...、第N級(AMP2)的順序進行級聯連接,并分別具有相同的第一增益。第二放大電路(AMPl)具有第一增益,并與N個第一放大電路的后級相連接。N個第一電平檢測電路(DET4~DET2)分別與N個第一放大電路相對應地進行設置,并分別根據N個第一放大電路的第一級、…、第N級的輸出電平來輸出電流。第二電平檢測電路(DETl)根據第二放大電路的輸出電平來輸出電流。第一加法電路(ADDl)將N個第一電平檢測電路的輸出電流進行相加。第一乘法電路(MULl)將第一加法電路的輸出電流乘以第一系數。第二加法電路(ADD2)將第一乘法電路的輸出電流與第二電平檢測電路的輸出電流進行相加,并輸出成為該相加結果的第一電流。第三電平檢測電路(DET6)中輸入第一功率信號(Pdet),并根據第一功率信號的電平輸出第二電流。第二乘法電路(MUL2)在第一模式時輸出第一電流乘以第二系數所得到的電流。第三乘法電路(MUL5)在第二模式時輸出第一電流乘以第三系數所得到的電流。第一控制電路(SSWll)在第一模式時將第三乘法電路的輸出控制成無效狀態,在第二模式時將第二乘法電路的輸出控制成無效狀態。第三加法電路(ADD3)將第二乘法電路的輸出電流與第二電流進行相加。第四乘法電路(MUL3)在第一模式時輸出第三加法電路的輸出電流乘以第四系數所得到的電流。第五乘法電路(MUL6)在第二模式時輸出第三加法電路的輸出電流乘以第五系數所得到的電流。第二控制電路(SSWlO)在第一模式時將第五乘法電路的輸出控制成無效狀態,在第二模式時將第四乘法電路的輸出控制成無效狀態。第四加法電路(ADD6)將第四乘法電路及第五乘法電路的輸出電流與第三乘法電路的輸出電流進行相加。電流電壓轉換電路(IVC)將第四加法電路的輸出電流轉換為電壓。此處,第三值(MUL5的系數)小于第二值(MUL2的系數)、且小于I倍,第五值(MUL6的系數)大于I倍。
            [0015]由此,能以較小的面積實現多個檢波方式。具體而言,能利用第一模式進行對數檢波,能利用第二模式進行對數-線性檢波。此外,通過各乘法電路的系數能將這樣的多個檢波方式的各特性進行優化。
            發明效果
            [0016]若要對通過本申請中公開的發明中典型的實施方式所能得到的效果進行簡單的說明,就是能利用較小的面積實現多個檢波方式。
            【專利附圖】

            【附圖說明】
            [0017]圖1是表示應用了本發明的實施方式I的無線通信系統的移動電話系統的結構例的框圖。
            圖2是表示圖1的無線通信系統的高頻功率放大器模塊的結構例的框圖。
            圖3是將圖2的功率檢測電路塊中的各檢波方式進行比較的結果,圖3(a)是表示對數檢波方式及線性檢波方式的特性例的示意圖,圖3(b)是表示對數-線性檢波方式的特性例的示意圖。
            圖4是表示圖2的高頻功率放大器模塊中、GSM模式時的典型的功率控制特性的一個示例的圖。
            圖5是表示圖2的高頻功率放大器模塊的功率檢測電路塊的結構例的電路框圖。
            圖6是表示圖5的功率檢測電路塊的動作例的圖,圖6(a)是表示對數檢波部側的輸入輸出特性的說明圖,圖6(b)是表示對數-線性檢波部側的輸入輸出特性的說明圖。
            圖7是表示圖2的高頻功率放大器模塊的功率檢測電路塊的其它結構例的電路框圖。 圖8是表示圖7中的共用檢波部的示意性結構例的圖。
            圖9是表圖7的功率檢測電路塊的詳細的結構例的電路圖。
            圖10是表示圖9的功率檢測電路塊的、其乘法電路的系數所對應的特性變化的一個示例的說明圖。
            圖11是表示圖9的功率檢測電路塊的、其乘法電路的系數所對應的特性變化的一個示例的說明圖。
            圖12是表示圖9的功率檢測電路塊的、其乘法電路的系數所對應的特性變化的一個示例的說明圖。
            圖13是表示圖9的功率檢測電路塊的、成為其輸入的檢測功率信號的頻率所對應的特性變化的一個示例的說明圖。
            圖14是表示圖9的功率檢測電路塊的、成為其輸入的檢測功率信號的頻率所對應的特性變化的一個示例的說明圖。
            圖15與圖14相關聯,是表示改變了圖9的功率檢測電路塊中的乘法電路的系數時的特性變化的一個示例的說明圖。
            圖16是表示本發明的實施方式2的高頻功率放大器模塊的結構例的框圖。
            圖17是表示圖16的高頻功率放大器模塊的功率檢測電路塊的詳細的結構例的電路圖。
            圖18是表示圖16的高頻功率放大器模塊的功率檢測電路塊的其它結構例的電路框圖。
            圖19是表示圖18中的共用檢波部的示意性結構例的圖。
            圖20是表示圖18的功率檢測電路塊的詳細的結構例的電路圖。
            【具體實施方式】
            [0018]在以下的實施方式中,為了方便,在需要時分割成多個部分或實施方式進行說明,但除了特別明確表示的情況以外,它們并不是彼此無關的,一方處于另一方的一部分或全部的變形例、詳細、補充說明等的關系。此外,在以下的實施方式中,在言及要素的數等(包含個數、數值、量、范圍等)時,除了特別明確表示的情況以及在原理上明確限定為特定數的情況等以外,并不限于該特定的數,也可以是特定的數以上或以下。
            [0019]而且,在以下的實施方式中,除了特別明確表示的情況以及在原理上認為明顯是必須的情況等以外,其構成要素(也包含要素步驟等)當然也并非是必須的。同樣,在以下的實施方式中,在言及構成要素等的形狀、位置關系等時,除了特別明確表示的情況以及在原理上認為明顯不是這樣的情況等以外,也包含實質上與其形狀等近似或類似的情況等。這一情況對于上述數值及范圍而言也是相同的。
            [0020]此外,實施方式的構成各功能塊的電路元件沒有特別的限制,其通過公知的CMOS (互補MOS晶體管)等集成電路技術形成在單晶硅那樣的半導體基板上。另外,實施方式中,作為 MISFET (Metal Insulator Semiconductor Field Effect Transistor:金屬絕緣體半導體場效應晶體管)的一個不例,使用MOSFET (Metal Oxide Semiconductor FieldEffect Transistor:金屬氧化物半導體場效應晶體管)(簡稱為MOS晶體管),但作為柵極絕緣膜并不排除非氧化膜。附圖中,對MOS晶體管的基板電位的連接并未特別明確表示,只要MOS晶體管在能正常動作的范圍內,則其連接方法沒有特別的限定。
            [0021]以下,基于附圖對本發明的實施方式進行詳細說明。另外,在用于說明實施方式的所有附圖中,對相同的構件原則上標注相同的標號,并省略其重復的說明。
            [0022](實施方式I)
            《移動電話系統(無線通信系統)的整體結構》
            圖1是表示應用了本發明的實施方式I的無線通信系統的移動電話系統的結構例的框圖。圖1的移動電話系統包括基帶單元BBU、高頻系統部RFSYS、天線ANT、揚聲器SPK、及麥克風MIC等。BBU例如將SPK、MIC中使用的模擬信號轉換為數字信號,或者進行通信中的各種數字信號處理(調制、解調、數字濾波處理等),或者進行通信中的各種控制信號的輸出等。在該各種控制信號中,包含對GSM、EDGE、W-CDMA、LTE等這樣的通信方式(模式)作出指示的模式設定信號Mctl、對作為目標的發送功率作出指示的功率指示信號VRAMP。
            [0023]RFSYS包括:高頻信號處理裝置RFIC ; SAW (Surface Acoustic Wave:表面聲波)濾波器SAW ;高頻功率放大器模塊RFMD ;低通濾波器LPF1、LPF2 ;以及天線開關ANTSW/雙工器DPX0 RFIC例如由包含發送用混頻電路、接收用混頻電路、低噪聲放大電路(LNA)等的一個半導體芯片所構成,主要進行BBU中使用的基帶信號與RFMD中使用的高頻信號之間的頻率轉換(升頻轉換、降頻轉換)等。RFMD例如由一個模塊布線基板來實現,裝載有高頻功率放大裝置HPA1、HPA2等,詳細情況在后面進行敘述。
            [0024]HPAl將來自RFIC的W-CDMA (或LTE)用的發送信號作為輸入功率信號Pinl進行接收,并進行功率放大。HPA2將來自RFIC的GSM/EDGE用的發送信號作為輸入功率信號Pin2進行接收,并進行功率放大。RFMD中輸入上述的模式設定信號Mctl、功率指示信號VRAMP等,RFMD根據上述信號使HPAl或HPA2進行動作。此外,RFMD檢測來自該HPAl或HPA2的輸出功率信號的電平,并將其檢測結果即檢測電壓信號Vdet向RFIC輸出。
            [0025]LPFl從HPAl的輸出功率信號除去不需要的高次諧波分量,并將其輸出到ANTSW/DPX。LPF2從HPA2的輸出功率信號除去不需要的高次諧波分量,并將其輸出到ANTSW/DPX。ANTSff基于未圖示的開關切換信號對天線ANT的連接對象(連接對象例如是GSM用的發送路徑或接收路徑、W-CDMA用的發送路徑或接收路徑等)進行控制。例如在W-CDMA(或LTE)模式時,DPX根據規定的發送/接收頻帶對發送信號和接收信號進行分割。例如,在W-CDMA(或LTE)模式時,來自HPAl的輸出功率信號經由DPX及ANTSW之后作為發送信號TX發送到ANT,相反,將由ANT接收到的接收信號RX經由ANTSW及DPX之后作為接收信號RXl輸出到RFIC。另一方面,在GSM模式或EDGE模式時,來自HPA2的輸出功率信號經由ANTSW之后作為TX發送到ANT,相反,將由ANT接收到的RX經由ANTSW之后作為接收信號RX2輸出到SAW。SAW從RX2提取出規定的接收頻帶并向RFIC輸出。
            [0026]《高頻功率放大器模塊的整體結構》 圖2是表示圖1的無線通信系統的高頻功率放大器模塊的結構例的框圖。圖2所示的高頻功率放大器模塊RFMD例如由一個模塊布線基板(陶瓷布線基板等)所構成。在該布線基板上安裝有半導體芯片(半導體集成電路裝置)PACP,并且設有輸出匹配電路MNTol~MNTo4及定向耦合器(耦合器)CPLl~CPL4。MNTol~MNTo4、CPLl~CPL4例如通過該布線基板上的布線圖案來實現,在有的情況下,通過將電容、線圈這樣的SMD(Surface MountDevice:表面貼裝器件)元器件等與該布線圖案進行組合來實現。
            [0027]RFMD與外部之間輸入輸出七個輸入信號(Pin_HBl、Pin_LBl、DATA、CLK、Pin_HB2、Pin_LB2、VRAMP、Mctl)和五個輸出信號(Pout_HBl、Pout_LBl、Vdet、Pout_HB2、Pout_LB2)。PinJffil、Pin_LBl是與圖1的Pinl相對應的W-CDMA (或LTE)用的輸入功率信號,PinJffil是高頻段(例如2.1GHz頻帶等)用,Pin_LBl是低頻段(例如800MHz頻帶等)用。DATA及CLK分別是串行通信用的數據信號及時鐘信號。Pin_HB2、Pin_LB2是與圖1的Pin2相對應的GSM/EDGE用的輸入功率信號,Pin_HB2是高頻段用,Pin_LB2是低頻段用。
            [0028]具體而言,Pin_HB2與 DCS (Digital Cellular System:數字蜂窩系統)1800 (發送頻帶:1710 ~1785MHz、接收頻帶:1805 ~1880MHz)、PCS (Personal CommunicationsService:個人通信服務)1900 (發送頻帶:1850~1910MHz、接收頻帶:1930~1990MHz)等的發送信號相對應。Pin_LB2與GSM850(發送頻帶:824~849MHz、接收頻帶:869~894MHz)、GSM900(發送頻帶:880~915MHz、接收頻帶:925~960MHz)等的發送信號相對應。此外,VRAMP是用于設定來自天線的發送功率(圖1的TX)的目標值的功率指示信號,Mctl是對GSM、EDGE、W-CDMA、LTE等通信方式(模式)作出指示的模式設定信號。
            [0029]另一方面,PoutJffil、Pout_LBI是與來自圖1的HPAl的輸出相對應的W-CDMA (或LTE)用的輸出功率信號,PoutJffil是高頻段(例如2.1GHz頻帶等)用,Pout_LBl是低頻段(例如800MHz頻帶等)用。Pout_HB2、Pout_LB2是與來自圖1的HPA2的輸出相對應的GSM/EDGE用的輸出功率信號,Pout_HB2是高頻段(DCS1800、PCS1900)用,Pout_LB2是低頻段(GSM850、GSM900)用。Vdet是從對各輸出功率信號中的某一個的功率電平進行檢測的結果獲得的檢測電壓信號。
            [0030]半導體芯片(半導體集成電路裝置)PACP包括:輸入匹配電路MNTi I~ΜΝ--4 ;功率放大電路 PA_HB11、PA_HB12、PA_LB11、PA_LB12、PA_HB21 ~PA_HB23、PA_LB21 ~PA_LB23 ;以及偏置控制電路BSCTLl、BSCTL2。PA_HB11和PA_HB12成為級聯連接的兩級結構,將經由MNTil輸入的PinJffil進行放大,并將其經由芯片外部的MNTol進行輸出。同樣,PA_LB11和PA_LB12成為級聯連接的兩級結構,將經由ΜΝ--2輸入的Pin_LBl進行放大,并將其經由芯片外部的MNTo2進行輸出。
            [0031]另一方面,PA_HB21~PA_HB23成為級聯連接的三級結構,將經由ΜΝ--3輸入的Pin_HB2進行放大,并將其經由芯片外部的MNTo3進行輸出。同樣,PA_LB21~PA_LB23成為級聯連接的三級結構,將經由ΜΝ--4輸入的Pin_LB2進行放大,并將其經由芯片外部的MNTo4 進行輸出。BSCTLl 對 PA_HB11、PA_HB12、PA_LB11、PA_LB12 的增益(具體而言,偏置電流或偏置電壓)進行控制,BSCTL2對PA_HB21~PA_HB23、PA_LB21~PA_LB23的增益(具體而言,偏置電流或偏置電壓)進行控制。
            [0032]另外,來自MNTol的輸出信號成為Pout_HBl,來自MNTo2的輸出信號成為Pout_LB1,來自MNTo3的輸出信號成為Pout_HB2,來自MNTo4的輸出信號成為Pout_LB2。此時,在芯片外部,CPLl利用電磁耦合對PoutJffil的功率電平進行檢波,并將其作為檢測功率信號Pdetl進行輸出。同樣,CPL2對Pout_LBl的功率電平進行檢波,并輸出檢測功率信號Pdet2,CPL3對Pout_HB2的功率電平進行檢波,并輸出檢測功率信號Pdet3,CPL4對Pout_LB2的功率電平進行檢波,并輸出檢測功率信號Pdet4。
            [0033]半導體芯片PACP還包括:串行控制電路MIPI ;數字/模擬轉換電路DAC ;自動功率控制電路APC ;開關SWl ;選擇開關SSWl~SSW4 ;以及功率檢測電路塊roETBK_HBl、PDETBK_LBU PDETBK_HB2, PDETBK_LB20 MIPI對利用DATA、CLK的串行通信進行控制,DAC將利用該串行通信獲取到的數字信號轉換為模擬信號。該模擬信號能經由BSCTLl或SWl輸出到BSCTL2。
            [0034]PDETBKJffil接受來自CPLl的Pdetl,并輸出對應于該功率電平的電壓信號,PDETBK_LB1接受來自CPL2的Pdet2,并輸出對應于該功率電平的電壓信號。SSWl對該PDETBKJffil及H)ETBK_LB1中的某一個電壓信號進行選擇,并將其作為檢測電壓信號Vdet_W進行輸出。PDETBK_HB2接受來自CP L3的Pdet3,并輸出對應于該功率電平的電壓信號,PDETBK_LB2接受來自CPL4的Pdet4,并輸出對應于該功率電平的電壓信號。SSW2對該PDETBK_HB2及H)ETBK_LB2中的某一個電壓信號進行選擇,并將其作為檢測電壓信號Vdet_E、Vdet_G進行輸出。
            [0035]自動功率控制電路APC成為包括誤差放大器EA、串聯電阻Rl以及反饋電阻R2的負反饋型放大電路。VRAMP經由SSW3輸入到EA的兩個輸入中的一個,Vdet_G經由Rl輸入到另一個輸入。EA檢測出上述兩個輸入之間的誤差,并根據該檢測結果對BSCTL2進行控制。SSW3對將VRAMP與EA的輸入節點相連接還是與EA的輸出節點相連接進行選擇。SSW4選擇上述的Vdet_W& Vdet_E中的某一個,并將其作為電壓檢測信號Vdet向外部(圖1的RFIC)輸出。此處,根據來自外部的模式設定信號Mctl對SSWl~SSW4的選擇對象以及SWl的導通/斷開進行適當的控制。
            [0036]在這樣的結構例中,例如,在GSM模式時,根據頻帶(實際上為Mctl的指示)使PA_HB21 ~PA_HB23 及 PA_LB21 ~PA_LB23 中的某一個活性化,并生成 Pout_HB2 及 Pout_LB2中的某一個。若以假設選擇了高頻段側的情況為例,則根據Pout_HB2的功率電平生成Pdet3。PDETBK_HB2接受該Pdet3并經由SSW2輸出Vdet_G。EA檢測出該Vdet_G與經由SSW3輸入的VRAMP的誤差,并將該檢測結果輸出到BSCTL2。BSCTL2根據EA的檢測結果對PA_HB21~PA_HB23的增益進行控制。利用這樣的反饋控制(自身環),能根據VRAMP對Pout_HB2的功率電平進行控制。[0037]接下來,在EDGE模式時,與GSM模式時相同,使PA_HB21?PA_HB23及PA_LB21?PA_LB23中的某一個活性化,并生成Pout_HB2及Pout_LB2中的某一個。若以假設選擇了高頻段側的情況為例,則根據Pout_HB2的功率電平生成Pdet3,roETBK_HB2接受該Pdet3,并經由SSW2輸出Vdet_E。此處,在EDGE模式時,與GSM模式不同,將該Vdet_E經由SSW4輸出到外部(圖1的RFIC)。然后,基于該Vdet_E對圖1的RFIC中包含的可變增益放大電路(未圖示)的增益進行控制,其結果是,Pin_HB2的功率電平得到控制。
            [0038]另外,此時,VRAMP經由SSW3輸入到BSCTL2,或者來自DAC的模擬信號經由SWl輸入到BSCTL2。該情況下的VRAMP或模擬信號成為用于將PA_HB21?PA_HB23固定在最佳的偏置點(即,相對于輸入功率能線性放大的動作點)的固定電壓。通過Pin_HB2的功率電平對Pout_HB2的功率電平進行控制。
            [0039]接著,在W-CDMA(或LTE)模式時,根據頻帶(實際上為Mctl的指示)使PAJffil1、PA_HB12及PA_LB11、PA_LB12中的某一個活性化,并生成PoutJffil及Pout_LBl中的某一個。若以假設選擇了高頻段側的情況為例,根據PoutJffil的功率電平生成Pdetl。PDETBK_HBl接受該Pdetl,并經由SSWl輸出Vdet_W。在W-CDMA (或LTE)模式時,也與EDGE模式的情況相同,Vdet_ff經由SSW4輸出到外部(圖1的RFIC),并基于該Vdet_W對圖1的RFIC中包含的可變增益放大電路(未圖示)的增益進行控制。其結果是,PinJffil的功率電平得到控制,PoutJffil的功率電平也相應地得到控制。另外,此時,來自DAC的模擬信號輸入到BSCTL1,BSCTLl根據該信號將PA_HB11、PA_HB12控制在最佳的偏置點。
            [0040]另外,在圖2的示例中,將W-CDMA (或LTE)用的功率放大電路和GSM/EDGE用的功率放大電路裝載在同一個半導體芯片內,但在有的情況下,也可以分離到其它芯片上。即,GSM/EDGE用的功率放大電路通過使用LDMOS (LateralIy Diffused M0S:橫向擴散M0S)等從而能容易地滿足通信標準,但W-CDMA (或LTE)用的功率放大電路為了滿足通信標準有時需要HBT(Heterojunction Bipolar Transistor:異質結雙極晶體管)等高性能晶體管。
            [0041]由此,在支持多個通信方式(多模式)及多個頻帶(多頻段)的高頻功率放大器模塊RFMD中,每個頻帶獨立設有功率檢測電路塊。圖2的示例中,例如,與GSM的高頻段和低頻段相對應地分別具備Η)ΕΤΒΚ_ΗΒ2和H)ETBK_LB2。這是由于,在功率檢測電路塊中,因其內部電路的頻率特性,若輸入信號(Pdet)的頻帶發生較大變化,則檢測靈敏度(Pdet-Vdet特性)有可能發生較大變化,難以在高頻段和低頻段下共用功率檢測電路塊。
            [0042]此外,PDETBK_HB2和H)ETBK_LB2分別需要同時支持GSM模式和EDGE模式,但此時,如上所述,優選使用分別與每個模式相適應的檢波方式。于是,例如,在Η)ΕΤΒΚ_ΗΒ2中,實際上,設有支持GSM模式的功率檢測電路和支持EDGE模式的功率檢測電路。由此,有可能增大整個功率檢測電路塊的電路面積。因此,要求有一種既能實現與每個模式相適應的檢波方式、又能降低電路面積的技術。
            [0043]此處,在GSM模式時,功率檢測電路塊roETBK_HB2、roETBK_LB2分別優選使用對抑制輸出功率信號Pout_HB2、Pout_LB2的偏差有益的對數(Log)-線性檢波方式。在對數-線性檢波方式中,PDETBK_HB2、roETBK_LB2在低功率側利用對數尺度(log scale)、在高功率側利用線性尺度對檢測功率信號Pdet3、Pdet4的大小進行檢波。圖3是將圖2的功率檢測電路塊中的各檢波方式進行比較的結果,圖3(a)是表示對數檢波方式及線性檢波方式的特性例的示意圖,圖3(b)是表示對數-線性檢波方式的特性例的示意圖。[0044]圖3 (a)、(b)中,將橫軸設為檢測功率信號Pdet (dBm)(對數尺度),將縱軸設為檢測電壓信號Vdet(V)(線性尺度),示出了 Pdet-Vdet的特性。如圖3(a)所示,在使用線性檢波方式(橫軸、縱軸皆為線性尺度時Pdet-Vdet的特性成為比例關系的檢波方式)的情況下,若以對數尺度觀察橫軸,則Pdet-Vdet的特性成為指數關系。另一方面,在使用對數檢波方式的情況下,Pdet-Vdet的特性成為比例關系。從圖3(a)可見,在使用線性檢波方式時,低功率側(Pdet(Pout)較小的一側)的檢測靈敏度較低,但能提高高功率側的檢測靈敏度,相反,在使用對數檢波方式時,高功率側的檢測靈敏度較低,但能提高低功率側的檢測靈敏度。因此,通過將它們進行組合,來使用圖3(b)所示的對數-線性檢波方式,從而能在低功率區域至高功率區域的大范圍內提高檢測靈敏度。
            [0045]圖4是表示圖2的高頻功率放大器模塊在GSM模式時的典型的功率控制特性的一個示例的圖。圖4中,將橫軸設為功率指示信號VRAMP(V)(線性尺度),將縱軸設為輸出功率信號Pout (dBm)(對數尺度),示出了 Pout相對于VRAMP的典型的特性例。此處,一并示出了各溫度T(15°C、25°C、85°C )下的特性。若應用圖3 (b)所示的對數-線性檢波方式,則如圖4所示,Pout相對于VRAMP的斜率在低功率設定時較大,在高功率設定時較小。即,能得到將圖3(b)中的橫軸與縱軸進行了替換的特性。在此情況下,如在圖3(b)中敘述的那樣,能在大范圍內從功率檢測電路塊得到高靈敏度的檢測電壓信號Vdet,因此能經由圖2的誤差放大器EA實現使用VRAMP的高精度的功率控制,其結果是,能降低輸出功率信號Pout的偏差。
            [0046]另一方面,在EDGE模式中,如上所述,未進行使用自動功率控制電路APC的反饋控制,而是經由檢測電壓信號Vdet替換性地進行Pout的功率測定,根據該測定結果來進行圖1的RFIC中的可變增益放大電路的增益控制。因此,在EDGE模式中,如圖3(a)所示,使用能以線性函數容易地對檢測功率信號Pdet (Pout)與Vdet的關系進行近似的對數檢波方式是有益的。由此,能恰當地進行圖1的RFIC中的可變增益放大電路的增益控制,而且,如上所述,也能容易或高精度地進行Pdet (Pout)與Vdet的關系的校準。其結果是,能降低輸出功率信號Pout的偏差。另外,在EDGE模式中,也能使用在線性尺度時Vdet相對于Pdet成為線性函數的關系來進行可變增益放大電路的增益控制,因此,在有的情況下也能使用線性檢波方式。
            [0047]《功率檢測電路塊的結構[I]》
            圖5是表示圖2的高頻功率放大器模塊的功率檢測電路塊的結構例的電路框圖。圖6是表示圖5的功率檢測電路塊的動作例,圖6(a)是表示對數檢波部側的輸入輸出特性的說明圖,圖6(b)是表示對數-線性檢波部側的輸入輸出特性的說明圖。圖5所示的功率檢測電路塊PDETBKa與圖2的H)ETBK_LB2 (或Η)ΕΤΒΚ_ΗΒ2)相對應,并包括:對數(Log)檢波部PDETC_LG ;對數-線性檢波部H)ETC_LGLN ;選擇開關SSW20 ;電流電壓轉換電路IVC ;以及DC放大電路AMPdc。SSW20在EDGW模式時將H)ETC_LG的輸出輸入到IVC,在GSM模式時將PDETC_LGLN的輸出輸入到IVC。IVC的輸出經由AMPdc成為檢測電壓信號Vdet。
            [0048]對數檢波部H)ETC_LG包括:多個交流耦合用電容Cl?C3 ;多個放大電路AMPl?AMP5 ;多個電平檢測電路DETl?DET6 ;多個乘法電路MULl?MUL3 ;多個加法電路ADDl?ADD3 ;以及衰減用電阻(衰減電路)Ratt。來自圖2的耦合器CPL的檢測功率信號Pdet經由Cl輸入到AMP4。在AMP4的后級依次級聯連接有AMP3、AMP2、AMPl。DETl?DET4分別生成對應于AMPl?AMP4的輸出電平的電流。
            [0049]ADDl將DET2?DET4的輸出電流進行相加,MULl將規定的系數(在本示例中為7/3倍)乘以ADDl的輸出電流。ADD2將MULl的輸出電流與DETl的輸出電流進行相加,MUL2將規定的系數(在本示例中為6/5倍)乘以ADD2的輸出電流。Pdet經由C2及衰減用電阻Ratt輸入到AMP5。DET5生成對應于AMP5的輸出電平的電流。Pdet經由C3輸入到DET6,DET6生成對應于該輸入電平的電流。ADD3將MUL2的輸出電流與DET5的輸出電流和DET6的輸出電流進行相加,MUL3將規定的系數(在本示例中為2倍)乘以ADD3的輸出電流。
            [0050]放大電路AMPl?AMP5例如分別起到限幅放大器的作用,其具有成為相同值的規定的增益(沒有特別的限定,例如為IOdB左右等),在輸出未達到規定的飽和輸出電壓的范圍內,利用該增益進行放大動作,在達到飽和輸出電壓的范圍內固定地輸出該飽和輸出電壓。Ratt是具有規定的增益(例如-數dB程度等)的衰減器。此處,例如,以檢測功率信號Pdet的電平非常小、僅從AMPl得到飽和輸出電壓、并且從DETl得到對應于該飽和輸出電壓的飽和輸出電流的情況為基準來說明動作。
            [0051]若從該狀態逐漸增加Pdet的電平,則在AMPl輸出飽和輸出電壓的狀態下,AMP2的輸出主要向飽和輸出電壓大幅增加。與此相對應,在DETl輸出飽和輸出電流的狀態下,DET2的輸出主要向飽和輸出電流大幅增加。然后,若該Pdet的電平的增加量達到IOdB左右,則AMP2的輸出達到飽和輸出電壓,DET2的輸出也與其相對應地達到飽和輸出電流。以下相同,每當Pdet的電平增加IOdB左右,AMP3、AMP4、AMP5的輸出依次達到飽和輸出電壓,并且DET3、DET4、DET5的輸出依次達到飽和輸出電流。此后,若進一步增加Pdet的電平,則來自DET6的輸出電流大幅增大。
            [0052]因此,最終利用ADD3對來自該電平檢測電路DETl?DET6的輸出電流進行相加,并且利用電流電壓轉換電路IVC轉換為電壓,從而如圖6 (a)所示,得到與Pdet的對數尺度成正比的檢測電壓信號Vdet。換言之,能進行對數檢波。另外,在圖6(a)中,Vdet的上限受到限制,但這并不是由于來自DET6的輸出電流受到限制所引起的,而是例如由于AMPdc等的輸出電壓受到限制所引起的。
            [0053]另一方面,對數-線性檢波部H)ETC_LGLN包括:多個交流耦合用電容C4、C5 ;多個放大電路AMP7?AMPlO ;多個電平檢測電路DET7?DETll ;多個乘法電路MUL4?MUL6 ;以及多個加法電路ADD4?ADD6。來自圖2的耦合器CPL的檢測功率信號Pdet經由C4輸入到AMP10。在AMPlO的后級依次級聯連接有AMP9、AMP8、AMP7。DET7?DETlO分別生成對應于AMP7?AMPlO的輸出電平的電流。ADD4將DET8?DETlO的輸出電流進行相加,MUL4將規定的系數(在本示例中為7/3倍)乘以ADD4的輸出電流。ADD5將MUL4的輸出電流與DET7的輸出電流進行相加,MUL5將規定的系數(在本示例中為1/5倍)乘以ADD5的輸出電流。Pdet經由C5輸入到DET11,DETll生成對應于該輸入電平的電流,MUL6將規定的系數(在本示例中為3倍)乘以DETll的輸出電流。ADD6將MUL5的輸出電流與MUL6的輸出電流進行相加。
            [0054]自放大電路AMP7?AMPlO的輸出到ADD6的輸入為止的路徑是與自上述H)ETC_LG中的AMPl?AMP4的輸出到ADD3的輸入為止的路徑大致相同的結構。不過,PDETC_LGLN中的MUL5的系數(例如,1/5倍)設定得比H)ETC_LG中的MUL2的系數(例如,6/5倍)要小。PDETC_LGLN 中的 DETl I 在 PDETC_LG 中與 DET6 相對應,但在 PDETC_LGLN 中,該 DETl I的輸出電流在利用MUL6進行相乘(例如3倍)之后輸入到ADD6。
            [0055]由此,如圖6(b)所示,在成為低功率區域的DET7?DETlO的檢測范圍中,能得到與Pdet的對數尺度成正比的Vdet,在成為高功率區域的DETll的檢測范圍中,能得到與Pdet的線性尺度成正比的Vdet (即,若將Pdet用對數尺度進行表示,則Vdet以指數函數的方式增加)。換言之,能進行對數-線性檢波。若將圖6(b)與圖6(a)進行比較,則在圖6(b)中,如上所述,通過將MUL5的系數(例如1/5)設定得比MUL2的系數(例如6/5)要小,從而能抑制對數檢波范圍的靈敏度,并且通過將DETll的輸出利用MUL6進行相乘(例如3倍),從而能提高線性檢波范圍的靈敏度。
            [0056]由此,若使用圖5的結構例,則能進行對數檢波、及對數-線性檢波,詳細情況在后面敘述,通過適當變更乘法電路MULl?MUL6的系數,能將對數檢波特性、及對數-線性檢波特性恰當地進行優化。例如,在進行對數檢波時,實際上,根據各放大電路、電平檢測電路等的電氣特性會產生來自作為目的的對數檢波特性的偏差,但這樣的偏差能通過各乘法電路的系數來進行修正。另外,在圖5中,Ratt、AMP5、DET5并非是必須的,既可以省略,也可以將AMP5、DET5設置在AMP4的前級。不過,在進行了省略等情況下,在圖6 (a)中的DET4的檢測范圍與DET6的檢測范圍之間的連接部分有可能無法得到線性函數的特性,因此,此處,通過設置Ratt、AMP5、DET5,能容易地實現該連接部分的線性函數的特性。
            [0057]《功率檢測電路塊的結構[2](本實施方式I的主要特征)》
            圖7是表示圖2的高頻功率放大器模塊的功率檢測電路塊的其它結構例的電路框圖。如上所述,通過使用圖5的結構例,能進行對數檢波、及對數-線性檢波,但獨立設置對數檢波部H)ETC_LG、及對數-線性檢波部H)ETC_LGLN有可能會增大電路面積。因此,使用圖7的結構例是有益的。圖7所示的功率檢測電路塊PDETBKb與圖2的H)ETBK_LB2 (或PDETBK_HB2)相對應,并包括:共用檢波部H)ETC_CM ;電流電壓轉換電路IVC ;以及DC放大電路AMPdc。IVC將來自H)ETC_CM的輸出電流轉換為電壓,并經由AMPdc進行輸出。該輸出電壓成為檢測電壓信號Vdet。
            [0058]共用檢波部H)ETC_CM包括:多個交流耦合用電容Cl?C3 ;多個放大電路AMPl?AMP5 ;多個電平檢測電路DETl?DET6 ;多個乘法電路MULl?MUL3、MUL5、MUL6 ;多個加法電路ADDl?ADD3、ADD6 ;衰減用電阻Ratt ;開關SW10、以及多個選擇開關SSW10、SSW11。此處,多個加法電路ADD3、ADD6、多個乘法電路MUL2、MUL3、MUL5、MUL6、多個選擇開關SSWlO、SSW11、及開關SWlO構成合成電路SYNS。來自圖2的耦合器CPL的檢測功率信號Pdet經由Cl輸入到AMP4。在AMP4的后級依次級聯連接有AMP3、AMP2、AMPl。DETl?DET4分別生成與AMPl?AMP4的輸出電平相對應的電流。
            [0059]ADDl將DET2?DET4的輸出電流進行相加,MULl將規定的系數(在本示例中為7/3倍)乘以ADDl的輸出電流。ADD2將MULl的輸出電流與DETl的輸出電流進行相加。SSffll將ADD2的輸出電流傳輸到MUL2和MUL5中的某一個。MUL2將規定的系數(在本例中為6/5倍)乘以經由SSWll輸入的ADD2的輸出電流,MUL5將規定的系數(在本示例中為1/5倍)乘以經由SSWll輸入的ADD2的輸出電流。Pdet經由C2及衰減用電阻Ratt輸入到AMP5。DET5生成對應于AMP5的輸出電平的電流,并經由SWlO進行輸出。
            [0060]Pdet經由C3輸入到DET6,DET6生成對應于該輸入電平的電流。ADD3將經由SWlO輸入的DET5的輸出電流與DET6的輸出電流和MUL2的輸出電流進行相加。SSWlO將ADD3的輸出電流傳輸到MUL3和MUL6中的某一個。MUL3將規定的系數(在本例中為2倍)乘以經由SSWlO輸入的ADD3的輸出電流,MUL6將規定的系數(在本示例中為3倍)乘以經由SSfflO輸入的ADD3的輸出電流。ADD6將MUL5的輸出電流與MUL3的輸出電流和MUL6的輸出電流進行相加,并將該相加結果輸出到電流電壓轉換電路IVC。
            [0061]在該共用檢波部H)ETC_CM中,GSM模式時,將開關SWlO控制為斷開,將選擇開關SSfflO的選擇對象控制在MUL6側,將選擇開關SSWll的選擇對象控制在MUL5側。另一方面,在EDGE模式時,將開關SWlO控制為導通,將SSWlO的選擇對象控制在MUL3側,將SSWll的選擇對象控制在MUL2側。該SW10、SSW10、SSffll的控制基于圖2的模式設定信號Mctl來進行。若如此進行開關及選擇開關的控制,則GSM模式時的H)ETC_CM與圖5的對數-線性檢波部H)ETC_LGLN成為等效,EDGE模式時的H)ETC_CM與圖5的對數檢波部H)ETC_LG成為等效。
            [0062]若將圖7與圖5進行比較,則在圖7中,未添加SW10、SSW10、SSWlI,取而代之地刪除了圖5的對數-線性檢波部PDETC_LGLN中的C4、C5、AMP7?AMP10、DET7?DETlUADD4、ADD5、MUL4。由此,能實現與各模式(GSM模式、EDGE模式)相適應的檢波方式、并且能實現電路面積的降低。另外,此處,利用開關(SW10、SSW10、SSW11)的控制來實現各模式的切換,但并不一定限于圖7的開關方式,能進行適當的變更。能變更為各種方式,例如,根據各模式將MUL2、MUL3、MUL5、MUL6和DET5的電路本身控制成直接啟用/禁用,或者,取代SSfflO而在MUL3、MUL6的輸出側分別設置開關等。
            [0063]此外,如圖5中也敘述的那樣,通過適當變更乘法電路MULl?MUL3、MUL5、MUL6的系數,能將對數檢波特性、及對數-線性檢波特性恰當地優化。例如,通過MULl的系數來調節對數檢波、及對數-線性檢波雙方的中功率區域的檢波特性的斜率。通過MUL2的系數來調節對數檢波中的低、中功率區域的檢波特性的斜率。通過MUL3的系數來調節對數檢波中的整個功率區域的檢波特性的斜率。通過MUL5的系數來調節對數-線性檢波中的低、中功率區域的檢波特性的斜率。通過MUL6的系數來調節對數-線性檢波中的高功率區域的檢波特性的斜率。
            [0064]在對數檢波(即,EDGE模式)時,對各乘法電路的系數進行調節,以主要獲得在圖6(a)中所示的Pdet(Pout)-Vdet特性的直線性(線性函數特性)。另一方面,在對數-線性檢波(即,GSM模式)時,對各乘法電路的系數進行調節,以主要使伴隨圖2的自動功率控制電路APC的反饋路徑的環路增益優化。例如,在環路增益過大時有可能會產生振蕩,相反,在過小時,Pout的偏差有可能增大。因此,通過各乘法電路的系數來使環路增益優化是有益的。
            [0065]圖8是表示圖7中的共用檢波部的示意性結構例的圖。圖7的共用檢波部H)ETC_CM從概念上來說由共用的對數檢波電路LGC、共用的線性檢波電路LNC、將它們的輸出進行加工、合成的合成電路SYNS所構成。LGC相當于圖7中的DETl?DET4周圍的結構,LNC相當于圖7中的DET6周圍的結構。SYNS根據LGC的輸出生成具有較高權重WTl (與圖7的MUL2(例如6/5倍)相對應)的輸出、以及具有比其低的權重WT2(與圖7的MUL5(例如1/5倍)相對應)的輸出。同樣,SYNS根據LNC的輸出生成具有較高權重WT3(與圖7的MUL6 (例如3倍)相對應)的輸出、以及具有比其低的權重WT4 (與圖7的DET6 — ADD3的I倍路徑相對應)的輸出。[0066]而且,SYNS利用選擇開關塊SSWBK將該LGC的具有較高權重WTl的輸出及具有較低權重WT2的輸出與LNC的具有較高權重WT3的輸出及具有較低權重WT4的輸出進行適當組合和合成來實現所希望的檢波特性。具體而言,在對數檢波方式(EDGE模式)時,利用加法電路ADD11(與圖7的ADD3相對應)將LGC的具有較高權重WTl的輸出與LNC的具有較低權重WT4的輸出進行合成,在對數-線性檢波方式(GSM模式)時,利用ADD11(與圖7的ADD6相對應)將LGC的具有較低權重WT2的輸出與LNC的具有較高權重WT3的輸出進行合成。另外,在圖8中,為了方便,將SSWBK配置在WTl?WT4的后級部分,當然,即使配置在WTl?WT4的前級部分也能進行同樣的動作。
            [0067]另外,圖7中的各乘法電路的系數能適當地進行變更,但為了實現對數檢波、對數-線性檢波,例如,示意性地成為以下的關系。首先,若以DET6 — ADD3的I倍路徑為基準來考慮的話,則MUL2出于與對數檢波中的DET6路徑的關系,能具有與I倍比較接近的系數。另一方面,隨著對數-線性檢波,為了將對數側的靈敏度抑制在一定程度,MUL5能具有比MUL2要小(換言之,比I倍要小)的系數。此外,隨著對數-線性檢波,為了將線性側的靈敏度提高到一定程度,MUL6能具有比I倍要大的系數。
            [0068]《功率檢測電路塊的結構[2]的細節》
            圖9是表示圖7的功率檢測電路塊的詳細的結構例的電路圖。在圖9中,電平檢測電路DETl?DET6分別通過NMOS晶體管(η溝道型MOS晶體管)麗I?ΜΝ6來實現。例如,若以DETl?DET5內的DET4的ΜΝ4為代表進行說明,則ΜΝ4的源極與接地電源電壓VSS相連接,ΑΜΡ4的輸出電壓輸入到ΜΝ4的柵極。在ΑΜΡ4的輸出電壓不充分(即,未達到ΜΝ4 (DET4)的閾值電壓)的情況下,源漏電流Ids未流過ΜΝ4ΦΕΤ4)。若ΑΜΡ4的輸出電壓處于閾值電壓?飽和輸出電壓的范圍中,則對應于該輸出電壓的Ids流過ΜΝ4ΦΕΤ4)。若ΑΜΡ4的輸出電壓達到飽和輸出電壓,則ΜΝ4ΦΕΤ4)的Ids也固定在規定的電流值(飽和輸出電流)。此夕卜,DET6的MN6中,Ids自經由C3的Pdet的電壓電平超過MN6的閾值電壓的時間點開始流動,此后,Ids根據Pdet的電壓電平以指數函數的方式逐漸增大。
            [0069]乘法電路MULl由包括兩個PMOS晶體管(p溝道型MOS晶體管)MPl、MP2的電流鏡電路所構成。MPl:MP2例如具有3:7的晶體管尺寸比,上述的三個ΜΝ4ΦΕΤ4)?麗2 (DET2)的漏極與MPl的漏極進行共用連接。通過該共用連接部分來實現加法電路ADD1。此外,MNl (DETl)的Ids利用由兩個PMOS晶體管MP4、MP3 (尺寸比例如為MP4:MP3=1:1)構成的電流鏡電路CMl進行轉換(transfer)。成為該轉換對象的MP3的漏極與MULl中的MP2的漏極進行共用連接。該共用連接節點還與NMOS晶體管MN7的漏極相連接,該NMOS晶體管MN7構成乘法電路MUL2、MUL5的一部分,并且包括二極管連接。通過該共用連接部分來實現加法電路ADD2。
            [0070]麗7(MUL[2、5])的柵極(漏極)經由選擇開關SSWll與構成MUL5的另一部分的NMOS晶體管MN8的柵極、以及構成MUL2的另一部分的NMOS晶體管MN9的柵極相連接。根據SSWll的選擇對象,麗7(MUL[2、5])與MN8 (MUL5)之間構成尺寸比例如為5:1的電流鏡電路,與MN9 (MUL2)之間構成尺寸比例如為5:6的電流鏡電路。由此,構成為MN8的柵極或MN9的柵極經由SSWll與二極管連接的麗7的柵極進行連接,從而能以較小的面積實現MUL2、MUL5、SSW11。另外,盡管省略了圖示,但在MN8、MN9中,未被SSWll選擇的一側的柵極例如經由開關等固定在VSS。[0071]麗5 (DET5)的漏極經由開關SWlO與PMOS晶體管MP7的漏極相連接,該PMOS晶體管MP7構成乘法電路MUL3、MUL6的一部分,并且包括二極管連接。而且,MN6(DET6)的漏極和MN9(MUL2)的漏極與該MP7(MUL[3、6])的漏極進行共用連接。通過該共用連接部分來實現加法電路ADD3。
            [0072]MP7(MUL[3、6])的柵極(漏極)經由選擇開關SSWlO與構成MUL3的另一部分的PMOS晶體管MP8的柵極、以及構成MUL6的另一部分的PMOS晶體管MP9的柵極相連接。根據SSWlO的選擇對象,MP7(MUL[3、6])與MP8 (MUL3)之間構成尺寸比例如為1:2的電流鏡電路,與MP9 (MUL6)之間構成尺寸比例如為1:3的電流鏡電路。由此,構成為MP8的柵極或MP9的柵極經由SSWlO與二極管連接的MP7的柵極進行連接,從而能以較小的面積實現MUL3、MUL6、SSW10。另外,盡管省略了圖示,但在MP8、MP9中,未被SSWll選擇的一側的柵極例如經由開關等固定在電源電壓VDD。
            [0073]MN8 (MUL5)的Ids利用由兩個PMOS晶體管MP5、MP6 (尺寸比例如為MP5:MP6=1:I)構成的電流鏡電路CM2進行轉換。成為該轉換對象的MP6的漏極與MP8(MUL3)的漏極和MP9(MUL6)的漏極進行共用連接。通過該共用連接部分來實現加法電路ADD6。該共用連接節點還與NMOS晶體管麗10的漏極(柵極)相連接,該NMOS晶體管麗10構成電流電壓轉換電路IVC,并且包括二極管連接。而且,在該麗IO(IVC)的漏極產生的電壓由DC放大電路AMPdc進行放大,成為檢測電壓信號Vdet。
            [0074]由此,通過以電流鏡電路為主體構成電平檢測電路DET、乘法電路MUL、加法電路ADD,使得例如與利用運算放大電路等構成乘法電路的情況相比,能以較小的面積實現功率檢測電路塊。此外,能利用晶體管尺寸比來容易地調節各乘法電路MULl?MUL3、MUL5、MUL6的系數。此外,在有的情況下,也可以調節電流鏡電路CM1、CM2的晶體管尺寸比。如圖7所述,這樣的調節主要是為了獲得對數檢波(即EDGE模式)時的直線性、以及使對數-線性檢波(即GSM模式)時的環路增益優化而進行的。除此之外,例如,根據半導體芯片的制造工藝的不同來進行,或者根據檢測功率信號Pdet的頻帶的不同來進行,或者根據用戶系統的要求來進行。
            [0075]《乘法電路的系數的調節例》
            圖10?圖12是分別表示圖9的功率檢測電路塊的、其乘法電路的系數所對應的特性變化的一個示例的說明圖。圖10?圖12中,以EDGE模式(對數檢波)為例,示出了檢測電壓信號Vdet (V)相對于輸出功率信號Pout (dBm)(換言之,檢測功率信號Pdet)的特性的模擬結果。首先,在圖10中示出了將圖9中的PMOS晶體管MP1、MP2的晶體管尺寸比(即,乘法電路MULl的系數)分別變更為MPl:MP2=3:3、3:5、3:7時的特性變化的情形。在圖10的示例中,MPl:MP2=3:7時的Pout (dBm)-Vdet (V)特性最接近線性函數。
            [0076]在圖11中示出了將圖9中的NMOS晶體管麗7、MN9的晶體管尺寸比(即,乘法電路MUL2的系數)分別變更為MN7:MN9=5:3、5:4、5:6時的特性變化的情形。在圖11的示例中,MN7:MN9=5:6時的Pout (dBm)-Vdet (V)特性最接近線性函數。在圖12中示出了將圖9中的PMOS晶體管MP7、MP8的晶體管尺寸比(即,乘法電路MUL3的系數)分別變更為MP7:MPS=1:1、1:2、1:3時的特性變化的情形。在圖12的示例中,根據各晶體管尺寸比,相對于線性函數的近似性沒有明顯的差異,但在MP7:MP8=1:3時,能得到最適合Vdet的范圍的斜率。通過調節相對于該范圍的斜率,能降低Pout相對于Vdet的偏差變動的偏差變動。[0077]圖13、圖14分別是表示圖9的功率檢測電路塊的、成為其輸入的檢測功率信號的頻率所對應的特性變化的一個示例的說明圖。圖15與圖14相關聯,是表示改變了圖9的功率檢測電路塊中的乘法電路的系數時的特性變化的一個示例的說明圖。圖13?圖15中分別以EDGE模式(對數檢波)為例,示出了檢測電壓信號Vdet(V)相對于輸出功率信號Pout (dBm)(換言之,檢測功率信號Pdet)的特性、以及Vdet Slope (mV/dB)相對于Pout (dBm)的特性的模擬結果。Vdet Slope表示Vdet的偏差變動(AVdet)與Pout的偏差變動(APout)的比值,其不依賴于Pout的電平,Vdet Slope越是一定,Pout (Pdet)-Vdet特性與線性函數的近似性越高。
            [0078]在圖13中示出了將Pout(Pdet)的頻率從898MHz (與GSM900相對應)變更為806MHz (與T-GSM810相對應)時的特性變化的情形。在此情況下,Pout (Pdet)-Vdet特性與線性函數的近似性沒有多大差別。另一方面,在圖14中示出了將Pout(Pdet)的頻率從898MHz變更為1880MHz (與PCS1900相對應)時的特性變化的情形。在此情況下,Pout (Pdet)-Vdet特性與線性函數的近似性主要在中?高功率區域發生下降。
            [0079]因此,在圖15的示例中,調節了圖9的功率檢測電路塊中的NMOS晶體管麗7、MN9(乘法電路MUL2)的晶體管尺寸比。如圖15所示,通過將麗7、MN9的晶體管尺寸比從MN7:MN9=5:6變更為MN7:MN9=4:6,能提高Pout(Pdet)-Vdet特性與線性函數的近似性。即,通過這樣的調節,能將圖2中的高頻段用的功率檢測電路塊Η)ΕΤΒΚ_ΗΒ2和低頻段用的功率檢測電路塊H)ETBK_LB2分別獨立地進行優化。
            [0080]以上,通過使用本實施方式I的半導體集成電路裝置及高頻功率放大器模塊,典型地,能以較小的面積實現多個檢波方式(具體而言,對數檢波、及對數-線性檢波)。此夕卜,通過各乘法電路的系數能容易地將各檢波方式中的電氣特性進行優化。
            [0081](實施方式2)
            本實施方式2中,對除了上述的GSM模式(對數-線性檢波)及EDGE模式(對數檢波)以外,還能支持W-CDMA(或LTE)模式的共用的功率檢測電路進行說明。
            [0082]《高頻功率放大器模塊的整體結構(變形例)》
            圖16是表示本發明的實施方式2的高頻功率放大器模塊的結構例的框圖。圖16所示的高頻功率放大器模塊RFMD2與圖2中敘述的高頻功率放大器模塊RFMD相比,半導體芯片(半導體集成電路裝置)PACP2的內部電路存在局部不同。在圖2的RFMD中,與四個耦合器CPLl?CPL4相對應地包括四個功率檢測電路塊,但圖16的RFMD2中,與CPL1、CPL3( BP,高頻段用)和CPL2、CPL4(即,低頻段用)相對應地包括兩個功率檢測電路塊Η)ΕΤΒΚ_ΗΒ3、PDETBK_LB3。除此之外的結構與圖2相同,因此,省略詳細的說明。
            [0083]例如,在高頻段的W-CDMA(或LTE)模式下進行動作時,來自CPLl的檢測功率信號Pdetl輸入到H)ETBK_HB3。PDETBK_HB3生成對應于Pdetl的功率電平的檢測電壓信號Vdet_ff,并經由選擇高頻段或低頻段的選擇開關SSW2輸出到半導體芯片外部。在低頻段的W-CDMA (或LTE)模式下進行動作時,來自CPL2的檢測功率信號Pdet2輸入到H)ETBK_LB3,PDETBK_LB3生成對應于Pdet2的功率電平的Vdet_W,并經由SSW2輸出到半導體芯片外部。
            [0084]同樣,在高頻段的EDGE模式下進行動作時,來自CPL3的檢測功率信號Pdet3輸入到Η)ΕΤΒΚ_ΗΒ3,Η)ΕΤΒΚ_ΗΒ3生成與Pdet3的功率電平相對應的檢測電壓信號Vdet_E,并經由SSW2輸出到半導體芯片外部。在低頻段的EDGE模式下進行動作時,來自CPL4的檢測功率信號Pdet4輸入到TOETBK_LB3,roETBK_LB3生成對應于Pdet4的功率電平的Vdet_E,并經由SSW2輸出到半導體芯片外部。
            [0085]另一方面,在高頻段的GSM模式下進行動作時,來自CPL3的Pdet3輸入到PDETBK_HB3,PDETBK_HB3生成對應于Pdet3的功率電平的檢測電壓信號Vdet_G,并經由SSW2輸出到自動功率控制電路APC。在低頻段的GSM模式下進行動作時,來自CPL4的Pdet4輸入到PDETBK_LB3, PDETBK_LB3生成對應于Pdet4的功率電平的Vdet_G,并經由SSW2輸出到APC。而且,如圖2中敘述的那樣,利用經由APC的反饋控制對輸出功率信號Pout_HB2或Pout_LB2的功率電平進行控制。
            [0086]《功率檢測電路塊的結構(變形例)[I]》
            圖17是表示圖16的高頻功率放大器模塊的功率檢測電路塊的詳細的結構例的電路圖。圖17所示的功率檢測電路塊與圖16的H)ETBK_LB3 (或Η)ΕΤΒΚ_ΗΒ3)相對應。該功率檢測電路塊成為以下的結構:即,與上述的圖9的結構例相比,圖9的H)ETC_CM置換成了圖17的共用檢波部H)ETC_CM2,而且,添加了線性檢波部H)ETC_LN和選擇開關SSW20。圖17的H)ETC_CM2與圖9的H)ETC_CM的不同之處在于,在各放大電路AMPl?AMP5中分別添加了電源開關PSW21?PSW25、以及在電平檢測電路DET6的輸入與接地電源電壓VSS之間添加了開關SW20。除此之外的結構與圖9相同,因此,省略詳細的說明。
            [0087]線性檢波部H)ETC_LN包括:交流耦合用電容C6 ;電平檢測電路DET7 ;電流鏡電路CM3 ;以及電流電壓轉換電路IVC2。DET7由源極接地的NMOS晶體管麗21所構成,檢測功率信號Pdet’經由C6輸入到麗21的柵極。CM3例如由晶體管尺寸比為1:1的PMOS晶體管MP20、MP21所構成,MP20接受MN21的源漏電流Ids,并轉換到MP21。IVC2由二極管連接的NMOS晶體管麗22所構成,接受MP21的Ids并將其轉換為電壓。選擇開關SSW20對來自與上述的H)ETC_CM2相對應的電流電壓轉換電路IVC的輸出電壓,或來自H)ETC_LN內的IVC2的輸出電壓進行選擇,并輸出到DC放大電路AMPdc。
            [0088]在這樣的結構例中,在GSM模式及EDGE模式時,PDETC_CM2接受檢測功率信號Pdet (相當于圖16的Pdet3或Pdet4),并進行與上述的圖9的H)ETC_CM相同的動作。在此情況下,根據模式設定信號Mctl,將上述的各電源開關PSW21?PSW25控制成導通,將開關SW20控制成斷開。來自H)ETC_CM2的輸出電流通過IVC轉換為電壓,該電壓經由SSW20、AMPdc作為檢測電壓信號Vdet進行輸出。另外,對SSW20的選擇對象也基于Mctl進行控制。
            [0089]另一方面,在W-CDMA(或LTE)模式時,PDETC_LN接受檢測功率信號Pdet’ (相當于圖16的Pdetl或Pdet2)來進行動作。此時,在H)ETC_CM2內,將各電源開關PSW21?PSW25控制成斷開,將開關SW20控制成導通。H)ETC_LN中,ΜΝ21ΦΕΤ7)生成對應于Pdet’的電平的電流,IVC2將該電流轉換為電壓。然后,該電壓經由SSW20、AMPdc作為Vdet進行輸出。
            [0090]由此,在本實施方式2中,在W-CDMA (或LTE)模式時,使用圖3 (a)中敘述的線性檢波方式成為主要特征之一。在W-CDMA(或LTE)標準中,與GSM、EDGE標準相比,要求更低的功率消耗。此外,如上所述,在W-CDMA模式中,與EDGE模式的情況相同,成為檢測電壓信號Vdet輸出到芯片外部的結構,因此,優選Pdet’ -Vdet的關系為線性函數。因此,為了同時滿足上述要求,此處,使用對Pdet’、Vdet均利用線性尺度觀察時能得到線性函數特性的線性檢波方式。在線性檢波方式中,如圖17所示,能使小面積(即,節省電力)的電路即PDETC_LN進行動作,能使H)ETC_CM2成為電源阻斷狀態,因此,能實現更低的功率消耗。另夕卜,在W-CDMA(或LTE)模式中,通常,與EDGE模式相比,由于輸出功率的控制范圍較為狹窄,因此,即使不是對數檢波方式,而是線性檢波方式,也能充分應對。
            [0091 ]《功率檢測電路塊的結構(變形例)[2]》
            圖18是表示圖16的高頻功率放大器模塊的功率檢測電路塊的其它結構例的電路框圖。如上所述,通過使用圖17的結構例,能進行對數檢波、對數-線性檢波、及線性檢波,但另外設置線性檢波部H)ETC_LN有可能會增大電路面積。因此,使用圖18的結構例是有益的。圖18所示的功率檢測電路塊PDETBKc與圖16的H)ETBK_LB3 (或Η)ΕΤΒΚ_ΗΒ3)相對應,并包括:共用檢波部H)ETC_3CM ;電流電壓轉換電路IVC ;以及DC放大電路AMPdc。IVC將來自H)ETC_3CM的輸出電流轉換為電壓,并經由AMPdc進行輸出。該輸出電壓成為檢測電壓信號Vdet。
            [0092]共用檢波部H)ETC_3CM成為以下結構,S卩,與圖7的共用檢波部H)ETC_CM相比,在放大電路AMPl?AMP5中添加了電源開關PSW,而且,還添加了開關SW30、SW31及乘法電路MUL7,而且,圖7的SSWlO變更為了圖18的選擇開關SSW30。除此之外的結構與圖7相同,因此,省略詳細的說明。SW30設置在乘法電路MUL2與加法電路ADD3之間,SW31設置在乘法電路MUL5與加法電路ADD6之間。MUL7與上述乘法電路MUL3、MUL6并聯設置,此處,例如具有I倍的系數。SSW30將加法電路ADD3的輸出與MUL3、MUL6和MUL7中的某一個相連接。另外,PSW、SW30、SW31、SSW30的動作基于模式設定信號Mctl來進行。此外,功率檢測信號Pdet相當于圖16中的Pdetl?Pdet4中的任意一個。
            [0093]在這樣的結構例中,例如,在GSM模式或EDGE模式時,將電源開關PSW驅動為導通,將SW30、SW31驅動為導通,SSW30選擇MUL3和MUL6中的某一個。在此情況下,圖18的結構例與圖7的結構例等效,進行與圖7的情況相同的動作。另一方面,在W-CDMA (或LTE)模式時,將PSW驅動為斷開,將SW30、SW31驅動為斷開,SSW30選擇MUL7。在此情況下,AMPI?AMP5周圍的對數檢波部分成為電源阻斷狀態,利用電平檢測電路DET6對Pdet的電平進行檢測,其輸出電流經由ADD3、SSW30、MUL7、ADD6輸出到IVC。
            [0094]由此,與圖17的情況相同,在W-CDMA(或LTE)模式時,對Pdet-Vdet的關系能用線性函數來近似,而且,能實現較低的功率消耗,能使整個功率檢測電路塊的面積比圖17的情況更小。另外,并非需要同時設置SW30、SW31,也可以僅設置其中的一個。例如,在僅設有SW30的情況下,在EDGE模式時,將SSWll的選擇對象設為MUL2側,將SW30控制為導通即可,在W-CDMA(或LTE)模式時,將SSWll的選擇對象設為MUL2側,將SW30控制為斷開即可。另一方面,在僅設有SW31的情況下,在GSM模式時,將SSWll的選擇對象設為MUL5側,將SW31控制為導通即可,在W-CDMA (或LTE)模式時,將SSWll的選擇對象設為MUL5側,將SW31控制為斷開即可。此外,也可以在ADD2與SSWl I之間設置一個開關來代替SW30、SW31。即,在W-CDMA (或LTE)模式時,只要設置使ADD2的輸出在ADD3和ADD6中均得不到反映的控制電路即可。
            [0095]圖19是表示圖18中的共用檢波部的示意性結構例的圖。圖18的共用檢波部PDETC_3CM從概念上來說由共用的對數檢波電路LGC2、共用的線性檢波電路LNG、將它們的輸出進行加工、合成的合成電路SYNS2所構成。LGC2相當于圖18中的DETl?DET4周圍的結構,LNC相當于圖18中的DET6周圍的結構。圖19的結構例與上述的圖8的結構例相比,在LGC2內的各放大電路AMPl?AMP4中添加了電源開關PSW,而且,對SYNS2內的結構進行了稍許變更。
            [0096]SYNS2成為以下的結構,S卩,與圖8的SYNS相比,與權重WT3、WT4并聯地添加了權重WT5,隨之在選擇開關塊SSWBK2的選擇分支上添加了 WT5,而且,在WT1、WT2的輸出與加法電路ADDll的輸入之間添加了開關SW40。在圖19中,在對數-線性檢波(GSM模式)或對數檢波(EDGE模式)時,在PSW為導通、SW40為導通的狀態下進行與圖8相同的動作。另一方面,在線性檢波(W-CDMA(或LTE)模式)時,在PSW為斷開、SW40(與圖18的SW30、Sff31相對應)為斷開的狀態下,SYNS2基于LNC的輸出生成具有權重WT5 (與圖18的MUL7相對應)的輸出,并將其經由ADD11(與圖18的ADD6相對應)進行輸出。
            [0097]《功率檢測電路塊的結構(變形例)[2]的細節》
            圖20是表示圖18的功率檢測電路塊的詳細的結構例的電路圖。圖20的結構例與上述圖9的結構例的不同之處在于,在各放大電路AMPl?AMP5中添加了電源開關PSW21?PSW25,并添加了 SW30、Sff3K MUL7,圖9的SSWlO置換成了圖20的SSW30。SW30連接在構成乘法電路MUL2的NMOS晶體管MN9的漏極與加法電路ADD3之間,Sff31連接在構成電流鏡電路CM2的PMOS晶體管MP6的漏極與加法電路ADD6之間。不過,如果SW30、SW31例如構成為使未被選擇開關SSWll選擇的晶體管(MN8或MN9)的柵極成為接地電源電壓VSS,則也能削減SW30和SW31中的某一個。
            [0098]乘法電路MUL7由構成乘法電路MUL3、MUL6、MUL7的一部分的PMOS晶體管MP7、以及與PMOS晶體管MP7之間構成晶體管尺寸比為1:1的電流鏡電路的PMOS晶體管MP30所構成。MP30的漏極與構成MUL3、MUL6的另一部分的PMOS晶體管MP8、MP9的漏極進行共用連接。此處,選擇開關SSW30將MP7的柵極有選擇地與MP8、MP9、MP30的柵極中的某一個進行連接。通過這樣的乘法電路及選擇開關的結構,如圖9中也敘述的那樣能減小面積。
            [0099]以上,通過使用本實施方式2的半導體集成電路裝置及高頻功率放大器模塊,典型地,能以較小的面積實現多個檢波方式(具體而言,對數檢波、對數-線性檢波、及線性檢波)。此外,與實施方式I的情況相同,通過各乘法電路的系數能容易地將各檢波方式中的電氣特性進行優化。另外,此處,將乘法電路MUL7的系數設為I倍,當然,也可以進行適當的變更。
            [0100]以上,基于實施方式對本發明人所完成的發明進行了具體的說明,但本發明并不限于上述實施方式,只要在不脫離其要點的范圍內能進行各種變更。
            工業上的實用性
            [0101]本實施方式的半導體集成電路裝置及高頻功率放大器模塊尤其適用于能支持多模式/多頻帶的移動電話,但并不限于此,還能廣泛地應用于需要進行發送功率的檢波的所有無線通信系統。
            標號說明
            [0102]ADD加法電路 AMP放大電路 ANT天線
            ANTSff天線開關APC自動功率控制電路BBU基帶單元BSCTL偏置控制電路C 電容
            CM電流鏡電路
            CPL定向I禹合器(I禹合器)
            DAC數字/模擬轉換電路DET電平檢測電路DPX雙工器EA誤差放大器HPA高頻功率放大裝置IVC電流電壓轉換電路LGC對數檢波電路LNC線性檢波電路LPF低通濾波器MIC麥克風MIPI串行控制電路MN NMOS晶體管MNTi輸入匹配電路MNTo輸出匹配電路MP PMOS晶體管MUL乘法電路Mctl模式設定信號PA功率放大電路
            PACP半導體芯片(半導體集成電路裝置)
            PDETBK功率檢測電路塊
            PDETC_CM共用檢波部
            PDETC_LG對數檢波部
            PDETC_LGLN對數-線性檢波部
            PSff電源開關
            Pdet檢測功率信號
            Pin輸入功率信號
            Pout輸出功率信號
            R電阻
            RFIC高頻信號處理裝置RFMD高頻功率放大器模塊RFSYS高頻系統部RX接收信號
            Ratt衰減用電阻(衰減電路)SAW SAW濾波器SPK揚聲器SSff選擇開關SSffBK選擇開關塊Sff開關SYNS合成電路TX發送信號VDD 電源電壓VRAMP功率指示信號VSS接地電源電壓Vdet檢測電壓信號WT權重
            【權利要求】
            1.一種半導體集成電路裝置,其特征在于,具有: N個第一放大電路,該N個第一放大電路將第一功率信號作為輸入,以第一級、…、第N級的順序進行級聯連接,并分別具有相同的第一增益; N個第一電平檢測電路,該N個第一電平檢測電路分別與所述N個第一放大電路相對應地進行設置,并分別根據所述N個第一放大電路的第一級、…、第N級的輸出電平來輸出電流; 第一加法電路,該第一加法電路將所述N個第一電平檢測電路的輸出電流進行相加,并輸出成為該相加結果的第一電流; 第二電平檢測電路,該第二電平檢測電路中輸入所述第一功率信號,并根據所述第一功率信號的電平輸出第二電流; 合成電路,該合成電路生成將所述第一電流乘以第一值所得到的結果即第三電流、以及乘以比所述第一值要小的第二值所得到的結果即第四電流,生成將所述第二電流乘以第三值所得到的結果即第五電流、以及乘以比所述第三值要小的第四值所得到的結果即第六電流,并輸出將所述第三電流和所述第四電流中的某一個電流與所述第五電流和所述第六電流中的某一個電流相加所得到的電流;以及 電流電壓轉換電路,該電流電壓轉換電路將所述合成電路的輸出電流轉換為電壓。
            2.如權利要求1所述的半導體集成電路裝置,其特征在于, 所述合成電路包括:第一模式,該第一模式將所述第三電流與所述第六電流進行相加;以及第二模式,該第二模式將所述第四電流與所述第五電流進行相加,所述合成電路以所述第一模式和所述第二模式中的某一個模式進行動作。
            3.如權利要求2所述 的半導體集成電路裝置,其特征在于, 所述N個第一電平檢測電路包括N個第一晶體管,該N個第一晶體管分別將所述N個第一放大電路的第一級、…、第N級的輸出電壓作為柵極輸入,并將源極接地, 所述第二電平檢測電路包括第二晶體管,該第二晶體管將所述第一功率信號作為柵極輸入,并將源極接地, 所述第一加法電路將所述N個第一晶體管的源漏電流進行相加,并輸出所述第一電流, 所述合成電路包括多個電流鏡電路,該多個電流鏡電路將所述第一電流、所述第二晶體管的源漏電流作為輸入,并通過晶體管尺寸比來生成所述第三~第六電流。
            4.如權利要求3所述的半導體集成電路裝置,其特征在于, 所述第三值比所述第二值要大。
            5.如權利要求2所述的半導體集成電路裝置,其特征在于, 所述第一功率信號在所述第一模式時是根據EDGE模式的發送功率信號進行變動的信號,在所述第二模式時是根據GSM模式的發送功率信號進行變動的信號。
            6.如權利要求2所述的半導體集成電路裝置,其特征在于, 還包括控制是否對所述N個第一放大電路進行供電的電源開關, 所述合成電路還包括第三模式,該第三模式生成將所述第二電流乘以第五值所得到的結果即第七電流,并將所述第七電流輸出到所述電流電壓轉換電路,所述合成電路以所述第一模式、所述第二模式和所述第三模式中的某一個模式進行動作,在所述合成電路以所述第三模式進行動作時,將所述電源開關驅動為斷開。
            7.如權利要求6所述的半導體集成電路裝置,其特征在于, 所述第一功率信號在所述第一模式時是根據EDGE模式的發送功率信號進行變動的信號,在所述第二模式時是根據GSM模式的發送功率信號進行變動的信號,在所述第三模式時是根據W-CDMA模式或LTE模式的發送功率信號進行變動的信號。
            8.一種半導體集成電路裝置,其特征在于,具有: N個第一放大電路,該N個第一放大電路將第一功率信號作為輸入,以第一級、…、第N級的順序進行級聯連接,并分別具有相同的第一增益; 第二放大電路,該第二放大電路具有所述第一增益,并與所述N個第一放大電路的后級相連接; N個第一電平檢測電路,該N個第一電平檢測電路分別與所述N個第一放大電路相對應地進行設置,并分別根據所述N個第一放大電路的第一級、…、第N級的輸出電平來輸出電流; 第二電平檢測電路,該第二電平檢測電路根據所述第二放大電路的輸出電平來輸出電流; 第一加法電路,該第一加法電路將所述N個第一電平檢測電路的輸出電流進行相加; 第一乘法電路,該第一乘法電路將第一系數乘以所述第一加法電路的輸出電流; 第二加法電路,該第二加法電路將所述第一乘法電路的輸出電流與所述第二電平檢測電路的輸出電流進行相加,并輸出成為該相加結果的第一電流; 第三電平檢測電路,該第三電平檢測電路中輸入所述第一功率信號,并根據所述第一功率信號的電平輸出第二電流; 第二乘法電路,該第二乘法電路在第一模式時輸出將所述第一電流乘以第二系數所得到的電流; 第三乘法電路,該第三乘法電路在第二模式時輸出將所述第一電流乘以第三系數所得到的電流; 第一控制電路,該第一控制電路在所述第一模式時將所述第三乘法電路的輸出控制成無效狀態,在所述第二模式時將所述第二乘法電路的輸出控制成無效狀態; 第三加法電路,該第三加法電路將所述第二乘法電路的輸出電流與所述第二電流進行相加; 第四乘法電路,該第四乘法電路在所述第一模式時輸出將所述第三加法電路的輸出電流乘以第四系數所得到的電流; 第五乘法電路,該第五乘法電路在所述第二模式時輸出將所述第三加法電路的輸出電流乘以第五系數所得到的電流; 第二控制電路,該第二控制電路在所述第一模式時將所述第五乘法電路的輸出控制成無效狀態,在所述第二模式時將所述第四乘法電路的輸出控制成無效狀態; 第四加法電路,該第四加法電路將所述第四乘法電路及所述第五乘法電路的輸出電流與所述第三乘法電路的輸出電流進行相加;以及 電流電壓轉換電路,該電流電壓轉換電路將所述第四加法電路的輸出電流轉換為電壓,所述第三系數小于所述第二系數,且小于I倍, 所述第五系數大于I倍。
            9.如權利要求8所述的半導體集成電路裝置,其特征在于,還包括: 衰減電路,該衰減電路中輸入所述第一功率信號,并使所述第一功率信號衰減; 第三放大電路,該第三放大電路具有所述第一增益,并將所述衰減電路的輸出作為輸A ; 第四電平檢測電路,該第四電平檢測電路根據所述第三放大電路的輸出電平來輸出電流;以及 第三控制電路,該第三控制電路在所述第一模式時將所述第四電平檢測電路的輸出電流輸出到所述第三加法電路,在所述第二模式時將所述第四電平檢測電路的輸出控制成無效狀態, 在所述第一模式時,所述第三加法電路將所述第二乘法電路的輸出電流與所述第二電流、所述第四電平檢測電路的輸出電流進行相加。
            10.如權利要求9所述的半導體集成電路裝置,其特征在于, 所述N個第一電平檢 測電路包括N個第一晶體管,該N個第一晶體管分別將所述N個第一放大電路的第一級、…、、第N級的輸出電壓作為柵極輸入,并將源極接地, 所述第二電平檢測電路包括第二晶體管,該第二晶體管將所述第二放大電路的輸出電壓作為柵極輸入,并將源極接地, 所述第三電平檢測電路包括第三晶體管,該第三晶體管將所述第一功率信號作為柵極輸入,并將源極接地, 所述第四電平檢測電路包括第四晶體管,該第四晶體管將所述第三放大電路的輸出電壓作為柵極輸入,并將源極接地, 所述第一~第五乘法電路分別包括具有對應于所述第一~第五系數的晶體管尺寸比的第一~第五電流鏡電路。
            11.如權利要求10所述的半導體集成電路裝置,其特征在于, 所述第二及第三電流鏡電路包括: 第五晶體管,在該第五晶體管的源極和漏極之間輸入所述第一電流,該第五晶體管具有二極管連接;以及 第六及第七晶體管,該第六及第七晶體管分別將所述第五晶體管的源漏電流進行轉換, 所述第一控制電路根據所述第一及第二模式將所述第六晶體管的柵極和所述第七晶體管的柵極中的某一個與所述第五晶體管的柵極相連接, 所述第四及第五電流鏡電路包括: 第八晶體管,在該第八晶體管的源極和漏極之間輸入所述第三加法電路的輸出電流,該第八晶體管具有二極管連接;以及 第九及第十晶體管,該第九及第十晶體管將所述第八晶體管的源漏電流分別進行轉換, 所述第二控制電路根據所述第一及第二模式將所述第九晶體管的柵極和所述第十晶體管的柵極中的某一個與所述第八晶體管的柵極相連接。
            12.如權利要求9所述的半導體集成電路裝置,其特征在于, 所述第一功率信號在所述第一模式時是根據EDGE模式的發送功率信號進行變動的信號,在所述第二模式時是根據GSM模式的發送功率信號進行變動的信號。
            13.如權利要求8所述的半導體集成電路裝置,其特征在于,還包括: 電源開關,該電源開關在所述第一及第二模式時對所述N個第一放大電路進行供電,在第三模式時阻斷對所述N個第一放大電路的供電;以及 第六乘法電路,該第六乘法電路在所述第三模式時輸出將所述第三加法電路的輸出電流乘以第六系數所得到的電流; 所述第一控制電路進一步在所述第三模式時將所述第二及第三乘法電路的輸出均控制成無效狀態, 所述第二控制電路進一步在所述第三模式時將所述第四及第五乘法電路的輸出均控制成無效狀態,在所述第一及第二模式時將所述第六乘法電路的輸出控制成無效狀態。
            14.如權利要求13所述的半導體集成電路裝置,其特征在于, 所述第一功率信號在所述第一模式時是根據EDGE模式的發送功率信號進行變動的信號,在所述第二模式時是根據GSM模式的發送功率信號進行變動的信號,在所述第三模式時是根據W-CDMA模式或LTE模式的發送功率信號進行變動的信號。
            15.一種高頻功率放大器模塊,其特征在于,包括布線基板,該布線基板安裝有: 半導體芯片,該半導體芯片形成有第一及第二功率放大電路、第一及第二功率檢測電路、以及自動功率控制電路; 第一耦合器,該第一耦合器對所述第一功率放大電路的輸出功率進行檢波,并輸出第一檢測功率信號;以及 第二耦合器,該第二耦合器對所述第二功率放大電路的輸出功率進行檢波,并輸出第二檢測功率信號, 所述第一功率放大電路將第一頻帶的第一功率信號作為輸入進行功率放大, 所述第二功率放大電路將比所述第一頻帶要低的第二頻帶的第二功率信號作為輸入進行功率放大, 所述第一及第二功率檢測電路分別包括: N個第一放大電路,該N個第一放大電路將檢測功率信號作為輸入,以第一級、…、第N級的順序進行級聯連接,并分別具有相同的第一增益; N個第一電平檢測電路,該N個第一電平檢測電路分別與所述N個第一放大電路相對應地進行設置,并分別根據所述N個第一放大電路的第一級、…、第N級的輸出電平來輸出電流; 第一加法電路,該第一加法電路將所述N個第一電平檢測電路的輸出電流進行相加,并輸出成為該相加結果的第一電流; 第二電平檢測電路,該第二電平檢測電路中輸入所述檢測功率信號,并根據所述檢測功率信號的電平輸出第二電流; 合成電路,該合成電路生成將所述第一電流乘以第一值所得到的結果即第三電流、以及乘以比所述第一值要小的第二值所得到的結果即第四電流,生成將所述第二電流乘以第三值所得到的結果即第五電流、以及乘以比所述第三值要小的第四值所得到的結果即第六電流,在第一模式時輸出所述第三電流與所述第六電流的相加結果,在第二模式時輸出所述第四電流與所述第五電流的相加結果;以及 電流電壓轉換電路,該電流電壓轉換電路將所述合成電路的輸出電流轉換為電壓,并輸出成為該轉換結果的檢測電壓信號, 輸入到所述第一功率檢測電路的所述檢測功率信號是來自所述第一耦合器的所述第一檢測功率信號, 輸入到所述第二功率檢測電路的所述檢測功率信號是來自所述第二耦合器的所述第二檢測功率信號, 所述第一功率檢測電路或所述第二功率檢測電路在以所述第一模式進行動作時的來自所述第一功率檢測電路或所述第二功率檢測電路的所述檢測電壓信號被輸出到所述半導體芯片的外部, 所述第一功率檢測電路或所述第二功率檢測電路在以所述第二模式進行動作時的來自所述第一功率檢測電路或所述第二功率檢測電路的所述檢測電壓信號被輸入到所述自動功率控制電路, 所述自動功率控制電路根據所述檢測電壓信號的電壓電平與從所述半導體芯片的外部輸入的功率指示信號的電壓電平的比較結果來控制所述第一功率放大電路或所述第二功率放大電路的增益。
            16.如權利要求15所述的高頻功率放大器模塊,其特征在于, 所述N個第一電平檢測電路包括N個第一晶體管,該N個第一晶體管分別將所述N個第一放大電路的第一級、…、第N級的輸出電壓作為柵極輸入,并將源極接地, 所述第二電平檢測電路包括第二晶體管,該第二晶體管將所述第一功率信號作為柵極輸入,并將源極接地, 所述第一加法電路將所述N個第一晶體管的源漏電流進行相加,并輸出所述第一電流, 所述合成電路包括多個電流鏡電路,該多個電流鏡電路將所述第一電流、所述第二晶體管的源漏電流作為輸入,并通過晶體管尺寸比來生成所述第三~第六電流。
            17.如權利要求16所述的高頻功率放大器模塊,其特征在于, 所述第三值大于所述第二值。
            18.如權利要求17所述的高頻功率放大器模塊,其特征在于, 所述第一模式是EDGE模式,所述第二模式是GSM模式。
            【文檔編號】H03G3/30GK103477558SQ201280018362
            【公開日】2013年12月25日 申請日期:2012年7月26日 優先權日:2011年8月31日
            【發明者】島宗祐介, 吉崎保展, 林范雄, 筒井孝幸 申請人:株式會社村田制作所
            網友詢問留言 已有0條留言
            • 還沒有人留言評論。精彩留言會獲得點贊!
            1
            婷婷六月激情在线综合激情,亚洲国产大片,久久中文字幕综合婷婷,精品久久久久久中文字幕,亚洲一区二区三区高清不卡,99国产精品热久久久久久夜夜嗨 ,欧美日韩亚洲综合在线一区二区,99国产精品电影,伊人精品线视天天综合,精品伊人久久久大香线蕉欧美
            呦女亚洲一区精品| 国语自产精品视频在线区| 国产精品日本不卡一区二区| 成人久久精品一区二区三区| 亚洲一区二区成人| 国产成人综合自拍| 国产精品视频专区| 2020国产免费久久精品99| 日韩第三页| 国内精品久久久久久| 91精品国产综合久久久久久| 最新国产视频| 日韩欧美在线观看视频一区二区| 久久这里只有精品1| 一区二区三区四区免费视频| 5566中文字幕亚洲精品| 在线看片亚洲| 日本一区二区在线视频| 成人免费aa在线观看| 欧美日视频| 9色视频在线观看| 日韩三级久久| 欧美精品国产一区二区三区| 国内精品伊人久久久久妇| 综合婷婷| 国产成人福利免费视频| 国产成人精品福利网站在线观看| 国产精品每日更新| 亚洲一级免费视频| 国产精品第44页| 亚洲精品乱码久久久久久麻豆| 久久这里只有精品免费看青草| 欧美一区二区三区精品| 亚洲欧美另类在线| 深夜福利视频网| 精品国产999| 欧美色就是色| 国产成人在线视频| 亚洲精品国产乱码在线播| 国产午夜视频| 91一区二区在线观看精品| 亚洲精品中文字幕乱码三区| 久久网色| 99精品久久99久久久久久| 国产91精品在线播放| 久久精品视频8| 久久精品69| 一级久久| 伊人久久精品| 欧美一区二区高清| 色综合久久中文字幕综合网| 国产精品黄在线观看观看| 欧美国产在线看| 欲色影视天天一区二区三区色香欲| 韩国三级一区| 欧美一区永久视频免费观看| 伊人久久中文大香线蕉综合 | 青青草原国产视频| 亚洲欧美视频在线| 91在线视频福利| 日韩欧美在线综合网| 91精品国产手机在线版| 日韩欧美一区二区三区不卡| 欧美亚洲国产精品蜜芽| 亚洲福利一区二区三区| 欧美精品九九99久久在免费线| 久久99国产精品成人欧美| 国产亚洲精品不卡在线| 日韩免费福利视频| 91亚洲最新精品| 性做久久久久久久久不卡| 国产精品黄在线观看观看| 免费看国产精品久久久久| 久青草国产手机在线观| 国产美女久久久| 国产特黄特色a级在线视频| 日韩欧美精品综合一区二区三区| 亚洲一区二区三区在线网站| 午夜国产精品理论片久久影院| 欧美另类在线观看| 久久中文字幕综合不卡一二区| 亚洲第一区在线| 91精品国产手机在线版| 久久国产精品免费观看| 国产日韩欧美亚洲综合首页| 精品国产乱码一区二区三区| 国产成人精品免费| 久久午夜网| 亚洲日韩欧美一区二区在线 | 99在线国产| 国产成人久久777777| 色吊丝一区二区| 国产成人无精品久久久久国语| 伊人干综合网| 国产精品免费看久久久久| 久久免费网| 99久久国产综合精品swag| 日本综合a一区二区视频| 日韩精品中文字幕视频一区| 日韩欧美视频一区二区在线观看| 亚洲成年网站在线观看| 日日夜夜精品免费视频| 亚洲欧美在线免费观看| 日本青青草视频| 国产精品日韩欧美在线| 91精品视频观看| 日本精品中文字幕| 黄色片久久久| 国产一久久香蕉国产线看观看| 99久久www免费人成精品| 久久夜夜视频| 欧美亚洲欧美日韩中文二区| 蜜桃视频一区二区| 欧美日韩亚洲国产精品一区二区| 欧美在线观看一区| 天天色综合6| 美女福利视频一区二区| 日韩视频免费一区二区三区| 国产亚洲精品美女久久久久久2021| 国产欧美视频高清va在线观看| 国产高清免费不卡观看| 久久久青草青青国产亚洲免观| 色综合色综合| 日韩欧美中文字幕一区| 国产精品欧美一区喷水| 亚洲欧洲视频在线观看| 日韩亚色| 国产亚洲精品日韩综合网| 麻豆国产13p| 91精品国产麻豆91久久久久久| 久爱免费精品视频在线播放| 亚洲精品伊人久久久久| 热久久精品免费视频| 亚洲天堂网站在线| 91手机看片国产福利精品| 亚洲午夜精品国产电影在线观看| 制服美女视频一区| 中文字幕成人| 国产九九在线| 久久两性视频| 99久久精品国产片| 国产精品色内内在线播放| 国产亚洲精品日韩综合网| 国产成人亚洲综合| 久久久99精品久久久| 日韩欧美一区二区三区免费看| 亚洲国产日韩在线| 在线日韩国产| 国产精品每日更新| 久久久www免费人成看片| 国产精品久久久久桃色tv| 2019国内精品久久久久久| 久久国产精品电影| 97在线视频免费播放| 久久精品首页| 国产香蕉久久精品综合网| 国产又大又硬又粗| 在线日韩亚洲| 欧美久久网| 国产毛片一区二区三区精品| 久久免费手机视频| 高清一区二区三区视频| 国产免费一区不卡在线| 久久久久久精| 一区二区三区高清| 久久久久亚洲日日精品| 久久久久久亚洲精品中文字幕| 精品久久久中文字幕| 日韩中文一区| 欧美日韩在线观看区一二| 成人精品一区二区久久| 香蕉久久久久久狠狠色| 亚洲日本在线免费观看| 国产99热| 国产成人午夜91精品麻豆剧场| 日韩欧美亚洲一区| 亚洲视频四区| 国产综合一区| 99精品久久久久中文字幕| 国产精品中文字幕在线| 午夜免费小视频| 婷婷综合色| 一本久道久久综合婷婷五| 99视频在线观看视频| 久久精品国产精品亚洲艾| 在线视频精品视频| 亚洲一区第一页| 91精品国产综合久久消防器材| 国产天天色| 久久99九九| 中文字幕在线视频播放| 国产一级一片免费播放视频| www.中文字幕| 国产人成精品综合欧美成人| 日韩国产欧美精品在线| 国产精品久久久久亚洲| 99久久免费国产精品m9| 亚洲欧美丝袜制服| 成人国产精品一级毛片视频| 怡红院成人永久免费看| 亚洲欧洲无码一区二区三区| 久久99精品久久久久久国产越南| 日韩欧美久久一区二区| 91av免费观看| 精品欧美一区二区三区精品久久| 欧美一区二区三区在线播放| 成人精品人成网站| 国产成人久久精品二区三区| 精品亚洲大全| 午夜成人免费视频| 尤物国产精品| 日韩精品a在线视频| 亚洲免费成人| 九色最新网址| 亚洲国产综合在线| 中文国产成人精品久久一区| 国产午夜视频在线| 色吊丝一区二区| 日本一道dvd在线中文字幕| 综合久青草视频| 国产高清精品自在线看| 亚洲精品自拍视频| a久久| 久久亚洲综合| 视频一区二区在线| 日韩欧美一区二区不卡看片| 国产成人精品日本亚洲直接| 久久香蕉国产视频| 性欧美极品xxxx欧美一区二区| 亚洲国产日韩在线人高清磁力| 91亚洲最新精品| 亚洲热热久久九九精品| 九九久久免费视频| 亚洲国产欧美另类va在线观看| 亚洲人成毛片线播放| 99久久国产综合精品五月天| 91精品国产91久久久久久青草| 欧美激情综合亚洲五月蜜桃| 69国产成人综合久久精品| 在线视频一区二区三区四区| 日韩综合网| 国产二区精品| 国产视频一区二区三区四区| 国产精品亚洲午夜不卡| 久久五月视频| 午夜精品福利视频| 香蕉久久一区二区三区| 亚洲性视频在线| 五月婷婷激情综合| 中文字幕日本久久2019| 亚洲欧美久久| 日韩精品影视| 亚洲一级二级三级| 日韩欧美精品| 99视频精品全部在线| 国产专区中文字幕| 国产高清在线精品一区二区三区| 中文字幕成人在线| 婷婷精品| 国产专区精品| 久久精品视频2| 久青草国产在线视频_久青草免| 成人精品久久| 色优久久| 国产精品成人第一区| 九九精品久久久久久久久| 欧美日韩资源| 欧美国产日韩综合| 91精品国产福利尤物免费| 欧美视频亚洲色图| 国产成人一区二区三区| 亚洲专区在线播放| 国产在线伊人| 精品国产欧美| 久久69| 伊人久久成人成综合网222| 亚洲天堂精品在线| 91麻豆精品一二三区在线| 国产99热| 精品a在线观看| 国产日韩在线播放| 色久悠悠色久在线观看| 色偷偷亚洲综合网亚洲| 青草国产| 中出在线| 四虎在线观看一区二区| 天天色综合久久| 69久久夜色精品国产69小说| 99久久国产综合精品网成人影院| 中文字幕久久网| 99re这里只有精品在线| 国产精品福利在线| 国产成人啪午夜精品网站| 色综合97天天综合网| 99久久免费精品| 91国内精品| 亚洲一级在线| 99热在线观看精品| 亚洲制服丝袜在线| 久久亚洲不卡一区二区| 久久综合久久自在自线精品自| 一区二三区国产| 国产黄色免费观看| 国内精品视频| 国产黄色片在线观看| 99久免费精品视频在线观看2| 亚洲精品视频二区| 中文字幕热久久久久久久| 国产精品成久久久久三级| 国产亚洲精品午夜高清影院| 中文字幕精品一区二区精品| 国产精品久久久久aaaa| 久草精品在线观看| 亚洲无吗在线视频| 中文字幕二区| www.五月婷婷| 国产免费一区二区三区最新| 国产精品自产拍在线网站| 国产精品日本| 国产伦子一区二区三区四区| 国产美乳在线观看| 国产精品一区视频 | 99久久99久久免费精品蜜桃| 亚洲福利视频网址| www.国产一区二区| 精品亚洲永久免费精品| 国产成人毛片毛片久久网| 亚洲综合小视频| 国产日本在线| 99久热只有精品视频免费看| 亚洲欧美综合另类| 国产精品亚洲αv天堂2021| 国产精品日韩一区二区三区| 色天天综合| 免费在线观看视频a| 在线中文天堂| 激情婷婷综合| 欧美a在线观看| 欧美一区精品二区三区| 99国产精品久久久久久久...| 中文字幕在线色| 日韩美女福利视频| 日韩中文字幕在线观| 国产成人免费福利网站| 免费观看黄a一级视频日本| 婷婷97狠狠的狠狠的爱| 亚洲国产视频网站| 在线欧美日韩精品一区二区| 国产在线一区视频| 91精品福利在线观看| 国产精品第8页| 九九热精品视频在线观看| 中文字幕亚洲无线码在一区| 五月婷婷激情综合网| 久久毛片免费| 久草91| 99精品视频免费观看| 精品日韩欧美一区二区三区| 国产精品国产三级国产| 国产精品不卡| 国产日韩欧美在线| 婷婷激情五月网| 国产乱码精品一区二区三| 亚洲视频第二页| 久久精品91| 国产欧美激情一区二区三区| 欧美日韩在大午夜爽爽影院| 国产欧美自拍视频| 国产一级毛片a午夜一级毛片| 久久成人综合网| 精品国产一区二区三区久久久狼| 亚洲天堂美女视频| 九九热视频在线免费观看| 国产伦精品一区二区三区四区| 亚洲成年人网址| 亚洲精品影院久久久久久| 国产91在线看| 国产一区二区视频在线| 国产日韩欧美在线播放| 黄色一级毛片免费看| 在线亚洲+欧美+日本专区| 永久视频在线观看| 成人一a毛片免费视频| 99免费视频| 天堂在线v| 青青国产成人久久91| 四虎国产精品免费久久麻豆| 欧美激情亚洲激情| 九九激情视频| 久久伊人精品综合观看99| 国产在线极品| 悠悠色综合| 91精品91久久久| 性欧美日韩| 午夜精品久久久久蜜桃| 亚洲人av高清无码| 国产视频97| 亚洲精品男人天堂| 亚洲国产美女精品久久| 精品久久九九| 亚洲国产成人精品91久久久 | 国产黄色在线看| 久久久一本精品99久久精品66| 国产一区二区在线视频| 国产制服一区| 狠狠亚洲狠狠欧洲2019| 久夜色精品国产一区二区三区| 国产精品成人免费观看| 久久精品国内偷自一区| 97se亚洲国产综合自在线| 国产激情视频在线播放| 国产欧美精品专区一区二区| 久久精品国产亚洲5555| 婷婷99精品国产97久久综合| 99ri国产精品| 亚洲二区在线播放| 91极品女神嫩模在线播放| 99久久婷婷国产综合精品电影| 精品视频一区二区三区四区五区| 精品国产三级a∨在线| 国产精品欧美日韩| 久久精品区| 欧美日韩国产一区| 91久久国产成人免费观看资源| 国产日韩欧美亚洲| 国产91在线看| 黄网免费在线观看| 久久美女精品| 亚洲第一福利视频导航| 国产在线资源站| 999精品视频在线观看| 99国产精品久久久久久久成人热| 九九色视频在线观看| 久久久久香蕉| 久久福利青草精品资源站免费| 99久久99久久精品免观看| 亚洲国产中文字幕| 国产精品久久久久久免费| 国产99热99| 狠狠色伊人亚洲综合第8页| 国产精品女上位在线观看| 天堂网在线视频| 亚洲成a人v| 欧美成在线播放| 91精品啪在线观看国产线免费| 亚洲青草| 欧美成人精品第一区二区三区| 91av视频在线免费观看| 亚洲另类色图| 国产精品66在线观看| 精品国产日韩亚洲一区| 欧美第二区| 国产情侣久久| 日韩精品在线播放| 天天插夜夜操| 久久国产视频网| 激情中文字幕| 午夜电影在线观看国产1区| 国产成人久久91网站下载| 中文字幕一区在线观看| 日韩在线视频免费| 欧美三区在线观看| 国产色婷婷免费视频| 91av在线国产| 欧美三级欧美成人高清www| 欧美日韩高清一区二区三区| 精品国产不卡一区二区三区| 91久久青草精品38国产| 国产精品二| 亚洲精品人成网在线播放影院| 亚洲综合色婷婷| 欧美日韩精品一区二区在线播放| 亚洲伦理一区二区| 久久香蕉国产视频| 亚洲国产免费| 思思玖玖玖在线精品视频| 亚洲系列第一页| 日韩欧美国产一区二区三区| 在线国产91| 亚洲一区二区三区在线网站| 性做久久久久久久免费观看| 国产在线精品福利大全| 丝袜诱惑一区二区| 日韩高清一区二区| 免费观看欧美一区二区三区| 最新国产视频| 亚洲欧美精品中字久久99| 中文字幕成人在线| 伊人久久综合网亚洲| 久久久香蕉视频| 久久久久伊人| 成人亚洲性情网站www在线观看| 久久精品欧美一区二区| 精品国产自在现线看久久| 日韩亚洲人成在线| 91在线视频福利| 国产精品综合在线| 中文日本免费高清| 亚洲国产日韩在线人高清磁力| 欧美在线观看一区二区三区| 国产成人99| 精品国产三级a| 99reav| 国产精品福利一区二区久久| 久久成人黄色| 国产精品第一页爽爽影院| 91福利一区二区三区| 国产日韩欧美中文字幕| 久久国产精品-久久精品| 国产在线永久视频| 国产精品自产拍在线观看| 亚洲三级在线看| 99久久www免费| 国产精品一区二区制服丝袜| 婷婷激情狠狠综合五月| 国产91精品对白露脸全集观看 | 99久热只有精品视频免费看| 九九热视频在线观看| 日韩精品中文字幕一区三区| 国产欧美日本在线观看| 久久伊人亚洲| 国产性tv国产精品| 69精品视频| 久久精品看片| 在线成人亚洲| 婷婷国产成人久久精品激情| 麻豆国产精品免费视频| 久久99精品久久久久久黑人| 日韩精品一区二区三区在线观看l| 久久精品亚洲欧美日韩久久| 国产三级久久久精品麻豆三级| 日韩欧美精品| 欧美日韩国产一区二区三区欧| 99久久精品国产免看国产一区| 久久久久国产亚洲日本| 亚洲线精品久久一区二区三区| 亚洲国产精品免费在线观看| 成人免费一区二区三区在线观看| 91精品国产91久久久久久| 91精品视频观看| 亚洲国产成a人v在线观看| 欧美日韩国产码高清综合人成| 999热视频| 亚洲综合色婷婷中文字幕| 日韩a级毛片免费观看| 国产人成精品综合欧美成人| 国产午夜亚洲精品不卡电影| 亚洲美女精品视频| 国产精品美女一区二区三区| 国产91精品黄网在线观看| 毛片免费视频| 2021国产精品久久久久| 久久精品免费全国观看国产| 亚洲毛片免费在线观看| 91福利小视频| 久久99精品波多结衣一区| 黄色国产在线| 欧美日韩中文字幕在线观看| 日韩国产午夜一区二区三区 | 亚洲国产精品福利片在线观看| 久久社区视频| 久久久久一级片| 日韩乱码视频| 2020国产精品自拍| 亚洲毛片免费视频| 亚洲四虎影院| 国产精品午夜国产小视频| 婷婷99视频精品全部在线观看| 综合色综合| 丝袜美腿一区二区三区| 亚洲欧美一区二区三区久久| 成人在线精品| 99ri精品视频在线观看播放| 国产精品伦理久久久久| 色综合合久久天天给综看| 在线日韩理论午夜中文电影| 国产成人综合欧美精品久久| 97精品国产综合久久| 一区二三区国产| 怡红院影院| 日本欧美一区二区三区免费不卡 | 日本综合在线观看| 久久r热这里有精品视频| 久久精品视频大全| 九九热精品视频在线| 中文无码日韩欧免费视频| a级毛片免费播放| 婷婷玖玖| 日本一本在线| 亚洲精品自拍视频| 鲁丝片一区二区三区| 亚洲经典一区二区三区| 亚洲精品99久久久久中文字幕| 久久久久久久国产a∨| 午夜国产福利在线观看| 亚洲欧洲一区二区三区| 久久国产三级| 男人天堂影院| 国产成人综合久久精品亚洲| 国产视频二区在线观看| 亚洲国产国产综合一区首页| 四虎精品国产一区二区三区| 欧美一区二区三区在线播放| 男人天堂avav| 亚洲人成高清在线播放| 国产精品久久久久久久久电影网| 国产成人综合在线观看| 亚洲婷婷综合网| 欧美一页| 黄色片久久| 中文字幕在线二区| 蜜桃视频一区二区三区四区| 国产三级国产精品| 久久综合精品国产一区二区三区无| 一区视频在线播放| 婷婷激情综合网| 亚洲日本网站| 日韩欧美一区二区三区视频| 日本二区在线观看| 玖玖香蕉视频| 国内精品在线观看视频| 一区二区欧美视频| 欧美精品一区二区精品久久| 欧美视频一区二区| 婷婷综合五月中文字幕欧美| 九九九国产| 亚洲欧美综合久久| 国产永久视频| 中文字幕乱偷乱码亚洲| 2020国产成人精品视频网站| 日韩一区二区三区精品| 欧美国产合集在线视频| 国产欧美另类久久久精品免费| 日韩在线不卡一区在线观看| 国产一区二区久久精品| 亚洲一区中文字幕久久| 久久综合视频网| 亚洲精品成人av在线| 国产精品91在线播放| 欧美一区二区三区男人的天堂 | 99国产在线观看| 国产精品亚洲一区二区麻豆| 日韩欧美高清| 亚洲午夜久久久久久噜噜噜| 亚洲精品视频导航| 国产女人在线观看| 伊人久久成人成综合网222| 亚洲视频天天射| 国产成人精品自拍| 国产视频久久| 国产欧美网站| 久久久免费精品视频| 在线综合+亚洲+欧美中文字幕| 亚洲系列中文字幕| 欧美日韩亚洲另类人人澡| 在线观看网站国产| 99成人在线观看| 国产日韩在线视频| 日韩一区二区不卡| 日韩欧美中文亚洲高清在线| 国产综合自拍| 91香蕉视频免费在线观看| 亚洲国产一区二区三区a毛片| 亚洲啪啪网址| 国产成人h综合亚洲欧美在线| 国产香蕉在线视频| 国产成人h在线视频| 亚洲精品1区| 久久97久久97精品免视看清纯 | 五月婷婷综合色| 国产成人福利免费视频| www.精品国产| 久草国产在线视频| 热久久精品免费视频| 麻豆国产一区| 亚洲色图国产| 欧美亚洲一区| 国产91青青成人a在线| 欧美精品手机在线| 国产香蕉在线观看| 国产日韩精品视频一区二区三区| 国产亚洲精品视频中文字幕| 亚洲欧洲日本在线| 国产欧美日韩在线| 日韩精品a在线视频| 五月婷婷激情网| 久久精品国产一区二区| 永久免费精品视频| 久久国产亚洲| 欧美激情视频网址| 国产亚洲福利一区二区免费看| 综合色视频| 久久网免费视频| 制服丝袜一区二区三区| 亚洲成人久久| 亚洲综合第一区| 国产在线乱码在线视频| 国产福利在线高清导航大全| 精品一区二区三区在线播放| 欧美视频日韩视频| 制服丝袜中文字幕在线| 国产色网站| 久久高清精品| 精品国产区| 亚洲嫩草影院久久精品| 国产成人精品综合久久久久性色| 夜精品a一区二区三区| 亚洲啪啪网址| 亚洲精品777| 日韩欧美在线综合网高清| 久草国产精品视频| 色www永久免费网站国产| 亚洲欧美综合日韩字幕v在线| 99精品免费视品| 色婷婷中文字幕在线一区天堂| 久久精品国产亚洲| 国产欧美日韩成人| 欧美亚洲国产一区| 91久久偷偷做嫩草影院免费看| 欧美一欧美一区二三区性| 亚洲欧美系列| 日本久久不射| 一道本香蕉视频| 黑丝一区二区| 久久国产99| 国产视频一区在线观看| 亚洲欧美成人综合在线| 国产视频精品免费| 国产日韩精品欧美一区色| 日韩视频免费一区二区三区| 视频一区二区国产无限在线观看 | 成人免费福利视频| 亚洲二区在线| 亚洲五月综合| 欧美一区永久视频免费观看| 国产毛片高清| 国产日产久久| 91亚洲综合| 国产精品日本一区二区在线播放 | 久久久久免费| 国产精品视频一区二区三区小说| www.91免费视频| 国产激情网| 亚洲国产欧美一区| 色综合天天干| 国产成人综合欧美精品久久| 综合色亚洲| 久久久99精品| 久久久久综合中文字幕| 韩日福利视频| 日韩久久网| 中文字幕在线不卡视频| 精品性久久| 国产国产人免费人成成免视频| 精品国产91久久久久| 99久久做夜夜爱天天做精品| 国产三级精品三级| 国产精品视频一区二区噜噜| 欧美日韩精品一区二区在线线| 国产精品亚欧美一区二区三区 | 精品国产香蕉伊思人在线| 欧美国产小视频| 天天综合色天天综合网| 国产日韩欧美综合| 日本精品久久久| 国产欧美另类第一页| 国内成人免费视频| 91精品综合| 2021久久精品国产99国产| 91精品国产三级在线观看 | 久青草国产手机在线视频| 国产精品午夜在线观看| 亚洲综合在线最大成人| 毛片在线播放网址| 中文字幕精品视频在线观| 2021亚洲欧洲天堂综合区| 国产精品综合久成人| 亚洲精品乱码久久久久| 国产精品一区二区久久精品涩爱| 国产成人在线视频| 一本久道久久综合| 久久免费播放视频| 国产中文字幕视频在线观看| 欧美精品人爱a欧美精品| 国产午夜亚洲精品不卡| 国产黄色精品| 国产精品最新| 欧美一区二区自偷自拍视频| 国内精品免费视频| 亚洲欧美日韩在线香蕉| 午夜久久久| 亚洲一区导航| 99久久精品国产一区二区| 亚洲精品在线网| 999在线观看视频| 在线亚洲激情| 国产精自产拍久久久久久| 亚洲人在线视频| 久久久久亚洲日日精品| 午夜毛片免费看| 最新欧美精品一区二区三区| 亚洲国产综合精品| 亚洲成av人片在线看片| 亚洲一区综合| 日韩精品一区二区三区不卡| 国产精品区免费视频| 欧美日韩中文字幕免费不卡| 99在线视频免费观看| 中文字幕伊人久久网| 亚洲精品98久久久久久中文字幕| 久久精品观看| 在线观看免费黄网站| 国产在线每日更新| 久久99国产精品| 视频一区日韩| 亚洲精品中文字幕字幕| 国产精品一区二区三区四区| 欧美精品一区二区三区四区| 中文字幕在亚洲第一在线| 欧美日韩国产在线人| 九九热在线观看| 91视频观看免费| 国产成人综合亚洲欧美天堂| 免费人成在线视频播放2022| 精品国产97在线观看| 亚洲视频a| 99精品国产自在现线观看| 亚洲免费视频一区二区三区| 五月天婷婷久久| 久久午夜影院| 日韩中文字幕视频在线| 五月综合在线| 亚洲精品第一国产麻豆| 国产高清网站| 国产精品igao视频| 亚洲自拍中文| 狠狠色婷婷丁香综合久久韩国| 在线观看亚洲国产| 中文字幕第一页在线| 亚洲欧美日韩国产一区二区三区精品| 国产一区二区精品| 亚洲九色| 国产精品你懂的在线播放| 色综合久久久久综合体桃花网| 欧美极度另类精品| 一区二区精品久久| 亚洲专区在线视频| 国产精品毛片一区| 九九热视频这里只有精品| 久久成人精品视频| 国产在线99| 欧美婷婷综合| 亚洲欧美一区二区三区国产精品| 欧美日韩国产高清视频| 国产免费91视频| 日本www色高清视频| 国产高清免费| 欧美专区一区二区三区| 国产亚洲精品国产第一| 中文字幕亚洲天堂| 日韩专区在线观看| 五月激情综合网| 深夜国产福利| 天天狠狠操| 欧美日韩精品一区二区免费看| 亚洲欧美丝袜制服| 在线观看91精品国产入口| 免费精品国产| 欧美综合专区| 青青青激情视频在线最新| 国产久视频| 国产一区二区三区免费在线视频| 亚洲精选在线观看| 99久久综合狠狠综合久久一区| 99爱国产| 久久99精品国产99久久| 在线看国产丝袜精品| 亚洲一区电影| 国产亚洲免费观看| 精品国产1区| 欧美国产日韩在线播放 | 欧美精品一区二区三区在线播放| 欧美福利一区二区三区| 91av免费在线观看| 99久久免费国产精品热| 成人精品久久| 97国产在线视频| 久久成人小视频| 精品伊人久久大线蕉地址| 亚洲视频在线观看免费| 欧美国产日韩久久久| 免费国产成人综合| 亚洲综合欧美日韩| 午夜性福利| 国产伦精品一区二区三区高清| 日韩亚洲视频| 日韩一区二区三区在线免费观看 | 精品三级久久久久久久电影| 日本高清在线一区| 日韩欧美不卡在线| 欧美日韩中文字幕一区二区高清| 国产精品福利在线观看| 婷婷综合视频| 欧美亚洲国产精品蜜芽| 九九精品久久久久久噜噜中文 | 一区二区日韩| 色综合天| 欧美一区视频在线| 国产精品天堂| 欧美三区在线观看| 亚洲精品中文字幕无码专区| 欧美国产合集在线视频| 久久精品播放| 精品国产综合| 久久亚洲热| 日本综合欧美一区二区三区| 免费在线色视频| 国产综合区| 91福利国产在线在线播放| 四虎永久在线观看免费网站网址| 欧美日韩在线看| 91精品一区国产高清在线gif | 99香蕉精品视频在线观看| 欧美综合天天夜夜久久| 国产真实一区二区三区| 亚洲国产在| 日本一道本在线视频| 国产精选第一页| 国产精品男人的天堂 | 一区二区三区在线视频观看| 国产美女精品久久久久久久免费| 99香蕉精品视频在线观看| 国产高清在线精品一区二区三区| 精品欧美一区二区精品久久| 亚洲综合首页| 久热国产视频| 色婷婷香蕉| 国产一区二区播放| 欧美精品伊人久久| 日本aⅴ精品一区二区三区久久| 国产不卡福利| 国产白白视频在线观看2| 久久亚洲国产| 九月色婷婷| 欧美一区二区三区在线观看免费| 精品九九视频| 午夜色婷婷| 久久久久蜜桃| 91国视频| 亚洲视频综合| 久久亚洲福利| 成人综合久久精品色婷婷| 亚洲热在线观看| 亚洲欧美一区二区久久| 精品视频一区二区| 国产亚洲成在线播放va| 日韩欧美不卡| 亚洲丝袜视频| 国产精品亚洲欧美日韩一区在线| 久久免费国产| 国产亚洲精品综合在线网址| 国产微拍精品一区| 国产丝袜久久| 欧美日韩国产一区| 99久久精品免费看国产免费| 在线看一区二区| 欧美精品免费在线观看| 日韩精品免费一区二区三区| 91精品福利一区二区| 伊人丁香| 国产a一级毛片爽爽影院| 国产精品福利在线观看秒播| 五月婷婷网址| 亚洲国产毛片| 综合网五月天| 在线视频二| 欧美日韩第三页| 日韩一区二区视频| 在线观看日本一区| 久久永久免费| 亚洲精品国产成人99久久| 九九导航| 高清一区二区三区视频| 欧美久久久久久| 最新国产网址| 97免费在线视频| 91精品国产三级在线观看| 久久五月视频| 91综合网| 国产在线一区二区三区在线| 国产有码视频| 久久久久综合中文字幕| 99久久精品在免费线18| 国产1区在线观看| 99久久精品国产国产毛片| 亚洲综合色一区二区三区| 久国产精品久久精品国产四虎| 久久精品这里| 国产专区在线播放| 亚洲国产美女在线观看| 亚洲视频在线一区二区| 久久99精品视免费看| 自拍亚洲| 久青草国产在视频在线观看| 亚洲视频第二页| 国产在线不卡视频| 国产成人综合怡春院精品| 伊人亚洲综合| 在线观看日韩欧美| 欧美精品亚洲二区| 久久91亚洲精品中文字幕| 久久久久亚洲国产| 国产小视频在线免费观看| 久久精品免观看国产成人| 一区精品在线| 久久美女免费视频| 欧美日韩一区二区在线视频播放| 国产中文在线视频| 国产精品被窝福利一区| 精品久久久久久久99热| 中文字幕66页| 亚洲欧美精品在线| 国产精品久久毛片完整版| 久久激情五月丁香伊人| 亚洲国产一区二区三区综合片| 国产精品视频一区二区三区小说 | 亚洲精品另类| 亚洲色图另类| 一区毛片| 婷五月综合| 亚洲人成电影院| 国产成人综合亚洲亚洲欧美| 亚洲综合天堂网| 久久成年人电影| 国内精品久久久久| 中文字幕色婷婷在线精品中| 欧美不卡网| 国产精品乱码高清在线观看| 亚洲精品国产福利| 国产jiyzz视频在线看| 国产精品久久久久9999| 久久精品欧美一区二区| 午夜精品久久久久久99热7777| 国产黄色在线免费观看| 精品国产一级在线观看| 中文字幕avv| 国产精品视频久久久久久| 五月国产综合视频在线观看| 国产中文在线视频| 欧美不卡二区| 久久国产精品亚洲| 99re这里只有精品6| 免费精品美女久久久久久久久久| 久久精品视频亚洲| 欧美一区二区三区免费看| 亚洲品质自拍视频网站| 国产亚洲精品日韩综合网| 国产午夜三区视频在线| 伊人成综合网| 日韩不卡一区二区三区| 国产精品日韩一区二区三区| 国产精品不卡| 91人成网站色www免费| 成人不卡在线| 亚洲欧美一区二区三区| 在线五月婷婷| 中文字幕久久综合伊人| 久久99精品久久久| 国产精品免费久久| 亚洲国产清纯| 蜜桃成人影院| 综合久久久久久中文字幕| 91免费视频网站| 99这里只有精品| 国产综合精品日本亚洲777| 日韩精品小视频| 日本欧美在线观看| 99精品热| 伊人色在线视频| 国产高清一区| 亚洲免费在线| 99这里只有精品视频| 日本一道本在线视频| 丝袜美腿视频一区二区三区| 国产无套在线播放| 国产精品视频久| 国产在线一区二区三区| 日本精品影院| 伊人精品影院一本到欧美| 久热精品视频在线播放| 中文字幕亚洲精品第1页| 99国产精品久久久久久久...| 一区二区三区视频在线观看| 一区二区三区亚洲区| 国产专区视频在线观看| 亚洲人成在线精品不卡网| 欧美三级一区二区| 亚洲成a人片毛片在线| 国产丝袜一区二区三区在线观看| 欧美日韩精品一区二区三区视频| 伊人夜夜| 免费视频一区二区| 国产精品中文字幕在线| 免费a黄色| 国产高清精品自在线看| 99热精品成人免费观看 | 在线视频第一页| 最新日韩精品| 国产精品无码2021在线观看| 亚洲欧美一区二区三区久久| 久久久久网站| 亚洲日韩天堂| 国产欧美精品专区一区二区| 国产精品91在线播放| 亚洲国产制服| 天堂成人在线| 日本精品中文字幕在线播放| 亚洲国产亚洲综合在线尤物| 亚洲欧美一区二区三区国产精品| 九九精品久久久久久久久| 日本一道dvd在线中文字幕| 91麻豆精品国产自产在线观看一区 | 久久久久久久久毛片精品| 亚洲午夜国产片在线观看| 中文字幕亚洲欧美日韩不卡| 在线亚洲精品中文字幕美乳| 精品国产麻豆免费人成网站| 99精品视频只99有精品| 国产成人av在线| 亚洲经典一区| 青青视频国产| 国产精品一区在线麻豆| 黄色片久久| 欧美劲爆第一页| 久久久久久精| 香蕉久久夜色精品国产小说| 国产精品久久永久免费| 免费久久久久| 在线国产视频一区| 亚洲欧美日本欧美在线播放污| 国产精品免费视频一区一| 色丁香久久| 国产精品久久久久9999高清| 蜜桃视频一区二区三区四区| 免费jjzz在线播放国产| 亚洲热综合| 久久久久综合网久久| 亚洲欧美日韩精品| 国产欧美一区二区三区精品| 亚洲视频第二页| 日韩欧美中文字幕一区| 亚洲精品中文字幕麻豆| 亚洲乱码在线| 九色精品视频在线观看| tom影院亚洲国产| 成人区精品一区二区不卡亚洲| 国产精品免费播放| 久久午夜一区二区| 91视频专区| 国产伦一区二区三区高清| 精品国产91久久久久| 久久伊人色综合| 色婷婷.com| 精品福利视频网| 激情综合网婷婷| 亚洲高清成人| 国产精品久久99| 天天精品在线| www.狠狠操.com| 亚洲国产另类久久久精品小说| 国产亚洲人成网站在线观看不卡| 国产曰批免费视频播放免费s| 久久伊人网视频| 亚洲成人网在线观看| 欧美区日韩区| 怡红院官网| 五月婷婷一区二区| 亚洲网站免费观看| 亚洲人成a在线网站| 国产日韩精品一区二区在线观看 | 日本亚洲欧美国产ay| 日本在线|中文| 国产成人香蕉久久久久| 伊人久久精品成人网| 国产精品极品美女自在线看免费一区二区 | 91麻豆最新在线人成免费观看| 国产综合视频在线观看| 国产亚洲第一精品社区麻豆| 免费国产成人手机在线观看| 色综合久久九月婷婷色综合| 国产精品亚洲综合色区韩国| 亚洲国产欧美另类va在线观看| 日韩欧美视频在线一区二区| 国产亚洲美女精品久久久久狼| 伊人夜夜| 99成人在线观看| 国产一区曰韩二区欧美三区| 国产精品99久久| 伊人色综| 国产成人在线网址| 国产亚洲三级| 国产亚洲欧美一区二区| 欧美日本亚洲国产一区二区| 91麻豆精品一二三区在线| 99久久伊人| 欧美精品一区二区三区免费观看 | 日韩精品一| segui久久综合精品| 日本免费一区二区在线观看| 亚洲经典在线中文字幕| 久久99精品国产麻豆宅宅| 91精品免费视频| 国产精品亚洲欧美一级久久精品| 99热国产免费| 亚洲福利在线| 国产亚洲视频网站| 亚洲天堂激情| 日本a级精品一区二区三区| 色婷婷精品大视频在线蜜桃视频| 国产日韩中文字幕| 在线欧美日韩精品一区二区| 成人毛片在线播放| 色综合久久久久| 99精品久久久久久久婷婷| 精品小视频在线| 欧美日韩国产一区二区| 日韩欧美中文字幕出| 精品国产自在现线看久久| 最新久久免费视频| 久热这里只精品99re8久| 国亚洲欧美日韩精品| 精品国产免费人成在线观看| 欧美性一区二区三区| 亚洲第一页综合| 国产2021成人精品| 国内精品久久久久影| 国产一区曰韩二区欧美三区| 国产在线视频二区| 久久亚洲精品成人综合| 成人久久久久| 日本欧美一区二区三区| 欧美日韩在线观看免费| 亚洲fuli在线观看| 亚洲午夜免费视频| 久久福利青草精品资源| 国产三级国产精品国产普男人| 久久精品久久精品| 无码精品一区二区三区免费视频 | 久久精品大全| 欧美第一页在线| 久久久久国产精品免费免费不卡 | 奇米影视一区二区三区| 久久一区二区三区免费| 欧美视频三区| 91九色在线视频| 亚洲视频精品在线观看| 国产日韩欧美不卡www| 国产成人综合久久| 国产欧美曰韩一区二区三区| 91久久青草精品38国产| 免费精品视频| 日韩毛片基地一区二区三区| 国产精品一区高清在线观看| 亚洲精品在线免费观看| 亚洲高清一区二区三区四区| 国产97色在线|日韩| 国产午夜精品一区二区| 9久9久女女免费精品视频在线观看| 精品日韩一区| 免费二级毛片免费完整视频| 欧美日韩一级黄色片| 亚洲图片一区二区| 欧美精品一区二区三区免费观看| 一区二区三区四区亚洲| 欧美一级特黄乱妇高清视频| 国产毛片久久久久久国产毛片| 色综久久| 国产一区高清| 国产精品福利久久| 国产欧美成人一区二区三区| 国产一区二区三区福利| 亚洲精品在线免费| 久久免费视频观看| 久久艹精品| 亚洲天堂久| 99久久精品免费看国产免费| 午夜视频网站在线观看| 精品哟哟哟国产在线不卡| 不卡视频在线播放| 亚洲国产精品日韩高清秒播| 国产91小视频| 欧美成人精品第一区| 国产伦精品一区二区三区| 精品毛片视频| 狠狠色狠狠色综合| 综合色伊人| 91精品视频免费| 国产精品原创永久在线观看| 91成人国产网站在线观看| 亚洲性影院| 久久这里只有精品久久| 这里只有精品久久| 国产亚洲欧美另类专区| 国产在线永久视频| 国产高清中文字幕| 国产欧美日本在线观看| 国产视频1区| 99精品热视频| a丫久久久久久一级毛片| 久久久午夜毛片免费| 在线不卡一区二区| 久久成人精品视频| 亚洲欧洲一级| 久久精品一区二区三区中文字幕| 国产原创在线视频| 91视频久久久久| 亚洲一区播放| 五月天综合网| 国产精品手机在线播放| 国产免费一区二区三区免费视频 | 在线观看日韩欧美| 国产精品99久久免费观看| 日韩高清在线不卡| 欧洲亚洲综合一区二区三区| 国产欧美日韩综合精品一区二区三区| 福利一区福利二区| 亚洲国产麻豆| 91精品国产亚一区二区三区| 成人中文字幕在线观看| 欧美一区二区在线播放| 在线视频二区| 日韩欧美视频一区二区三区| 在线观看国产精品麻豆| 91小视频在线播放| 国产精品亚洲精品观看不卡| 国产视频二区| 日韩欧美在线综合网高清| 久热中文字幕在线精品首页| 亚洲人成小说色在线| 日韩高清第一页| 青青草国产精品视频| 国产综合视频| 99在线热视频只有精品免费| 久久成人午夜| 2021国产精品自产拍在线| 久久免费视频精品| 91人成网站色www免费| 色偷偷88欧美精品久久久| 亚洲视频三区| 亚洲精品自在线拍| 亚洲视频中文字幕在线观看| 国产成人区| 亚洲欧美天堂网| 日本成人不卡| 久久网页| 国产成人在线播放| 久久最新免费视频| 999国产精品999久久久久久| 精品国产精品久久一区免费式| 亚洲视频在线观看地址| 九九久久精品国产| 日本高清www午夜视频| 中文字幕国产在线观看| 国产亚洲欧美日韩在线观看一区二区| 成人国产精品一区二区网站| 综合色伊人| 九九热视频在线观看| 在线欧美日韩国产| 视频一区亚洲| 国产精品久久久久久久久福利| 日本一区二区在线不卡| 国产不卡网| 国产成人永久免费视频| 日本精品视频一区二区三区| 成人午夜电影免费完整在线看| 亚洲欧美国产中文| 麻豆国产精品va在线观看不卡 | 亚洲精品丝袜| 日韩欧美一区二区三区在线视频| 亚洲国产欧美日韩精品一区二区三区 | 中文字幕在线观看一区二区| 精品国产三级a∨在线观看| 韩国一区二区视频| 亚洲视频在线免费观看| 欧美自拍另类| 亚洲欧美日韩一区| 国产主播福利一区二区| 久久青青草原精品国产麻豆| 亚洲视频a| 99pao在线视频精品免费| 免费精品国产| 国产香蕉一区二区精品视频| 亚洲精品视频二区| 亚色在线视频| 丝袜国产一区| 久久精品视频免费| 99精品热线在线观看免费视频| 99久久精品免费看国产麻豆| 久久这里有精品视频| 国产欧美激情一区二区三区| 91福利视频一区| 久久机热/这里只有精品1| 欧美日韩国产精品| 久久99国产精品成人| 色婷婷久久合月综| 国产一区二区福利| 婷婷99视频精品全部在线观看| 精品国产成人高清在线| 日韩在线观看网站| 国产亚洲精品自在久久不卡| 久久久国产精品免费看| 亚洲一区二区三区在线免费观看| 国产成人精品一区二区免费视频| 国产精品第1页| 国产毛片视频| 青草国产视频| 99久久999久久久综合精品涩| 亚洲综合色站| 日本一区二区三区精品视频| 日本一区二区视频在线观看| 97成人免费视频| 欧美精品99久久久久久人| 久久精品视| 色哟哟久久| 91免费国产在线观看| 国内自拍第一页| 91亚洲国产在人线播放午夜| 日韩精品免费在线视频| 伊人久久艹| 成人国产精品免费视频不卡| 亚洲免费成人在线| 激情综合亚洲| 欧美福利影院| 99久热re在线精品996热视频| 中文字幕日韩一区二区| 97精品国产综合久久| 国产精品久久久久久久久久久搜索| 国产在线一区二区| 在线亚洲综合| 无码aⅴ免费中文字幕久久| 日本一区二区在线不卡| 日韩成人免费在线| 久久成人国产精品青青| 99国产精品免费视频观看| 亚洲日本国产| 99精品日韩| 九一精品国产| 国产精品国偷自产在线| 国产玖玖在线观看| 久久久网站亚洲第一| 久久成人午夜| 99久久精品国产国产毛片| 久久久免费视频播放| 欧美国产在线精品17p| 制服丝袜怡红院| 亚洲天堂区| 亚洲一区二区欧美日韩| 九九香蕉网| 久久毛片免费| 色狠狠成人综合网| 色综合综合网| 中文有码第一页| 国产精品亚洲欧美一区麻豆| 99久久99久久久99精品齐 | 日韩日韩日韩手机看片自拍| 久久综合一区二区三区| 日本在线不卡一区二区| 久久宗合色| 久久精品综合视频| 亚洲婷婷综合| 日本中文一二区有码在线观看| 国产91原创| 国产精品入口| 久久机热/这里只有精品1| 怡红院网站| 欧美一区二区三区在线观看不卡| 伊人激情综合| 五月婷婷综合色| 亚洲国产美女视频| 国产高清专区| 色偷偷亚洲| 国产在线一区二区三区在线| 九九精品在线观看| 国产免费a| 亚洲国产日韩综合久久精品| 久久精品爱| 狠狠躁天天躁| 亚洲欧美国产日产综合不卡| 精品国产福利一区二区在线| 亚洲欧美专区| 青青草国产在线观看| 欧美日韩国产亚洲一区二区三区 | 亚洲欧美日韩综合在线一区二区三区| 久久国产高清| 国产区小视频| 国产成人高清在线观看播放| 亚洲综合网在线| 99热在线观看| 九九精品免视频国产成人| 日韩欧美国产亚洲制服| 国产91在线九色| 91精品国产91久久久久久麻豆| 欧美另类精品一区二区三区| 99精品视频在线播放2| 久久久国产99久久国产久| 99久久久免费精品免费| 久久综合九色综合8888| 亚洲欧美久久一区二区| 国产一二三区有声小说| 国产三级精品三级在线专区91 | 国产中文字幕在线| 国产在线观看青草视频| 激情综合网址| 久久字幕| 亚洲欧美日韩综合精品网| 欧美国产精品久久| 伊人精品网| 欧美性猛交99久久久久99| 蜜桃成人在线| 99国产情在线视频| 亚洲视频一区在线观看| 91精品福利久久久| 亚洲五月综合| 久久久久一区二区三区| 中文字幕第一页国产| 欧美不卡网| 视频一区二区三区在线| 久久免费电影| 午夜亚洲精品| 久久综合偷偷噜噜噜色| 亚洲三级在线免费观看| 性欧美长视频免费观看不卡| 国产精品videossex国产高清| 色综合电影网| 亚洲精品国产极品美女mm131 | 亚洲国产精品线播放| 狠狠色婷婷丁香综合久久韩国| 国产免费福利网站| 91在线亚洲精品专区| 国产成人精品一区二区三区| 国产成人亚洲综合无| 亚洲高清免费视频| 伊人久久大香线蕉资源| 国产精品永久免费| 亚洲精品中文字幕麻豆| 自拍亚洲一区| 婷婷综合久久狠狠色99h| 久久久精品久久久久久| 亚洲精品美女久久久| 国产亚洲女在线精品| 91精品国产免费久久国语蜜臀| 国产精品一区二区手机在线观看| 欧美一区二区三区婷婷月色| 免费一区二区三区久久| 欧美日韩国产亚洲一区二区| 91免费国产高清观看| 日韩一区二区免费看| 欧洲一区在线观看| 久久久久久久国产a∨| 无国产精品白浆免费视| 久操视频在线播放| 亚洲乱码在线| 欧美国产成人在线| 亚洲一区免费在线| 日韩成人免费观看| 日韩欧美国产一区二区三区 | 精品成人在线| 一区二区三区在线视频观看| 一区二三国产| 在线播放国产一区| 悠悠色综合| 欧美一区三区| 91麻豆精品在线观看| 91av中文字幕| 国产成人亚洲精品77| 香蕉久久网站| 婷婷久久综合九色综合88| bt天堂国产亚洲欧美在线| 久久综合一区二区三区| 久久这里只精品国产99热| 福利一区二区在线| 精品天海翼一区二区| 69国产成人综合久久精品91| 国产成人青草视频| 久青草国产在线| 欧美专区在线视频| 国产高清在线精品免费| 97麻豆精品国产自产在线观看 | 毛片视频免费| 麻豆国产精品有码在线观看| 精品久久久久不卡无毒| 国产性做久久久久久| 国产在线不卡视频| 欧美一级免费电影| 69精品在线| 久久伊人亚洲| 91麻豆精品国产自产在线观看一区 | 亚洲精品欧洲精品| 国产成+人欧美+综合在线观看| 久久精品爱| 欧美成人免费观看久久| 亚洲天堂网站| 亚洲天堂久久| 久久91亚洲人成电影网站| 久久久999久久久精品| 国产丝袜视频一区二区三区| 亚洲综合色视频| 精品日本亚洲一区二区三区| 狠狠色丁香婷婷久久综合2021| 中文字幕在线看片成人| 国产一区二区精品久久岳√| 99久久国产综合精品2020| 亚洲国产欧美日韩一区二区| 欧美曰批人成在线观看| 精品国产美女福利到在线不卡| 亚洲免费视频一区二区三区| 国产在线极品| 亚洲精品高清视频| 麻豆精品视频在线| 国产精品久久久久久久久久久威| 亚洲码和乱人伦中文一区| 日本成人不卡| 综合久久99| 99久久国产综合精品网成人影院| 综合久| 国产精品第一页爽爽影院| 日韩最新中文字幕| 一级毛片免费视频观看| 国产在线欧美日韩精品一区二区| 久久91亚洲精品中文字幕| 亚洲精品在线看| 亚洲欧美精品伊人久久| 色中文在线| 国产亚洲精彩视频| 久久国产精品歌舞团| 天天插天天透天天狠| 香蕉久久久久久狠狠色| 色五月在线视频| 日韩国产欧美一区二区三区在线| 欧美一区二区三| 久久一区精品| 国产成人99久久亚洲综合精品| 亚洲天堂男人在线| 日韩欧美在线综合网高清| 伊人网中文字幕| 91福利在线视频| 欧美国产在线视频| 国产精品美女一区二区三区| 福利三区| 精品a级片| 九一精品国产| 欧美精品免费在线观看| 亚洲欧美视频在线| 国产中文字幕在线免费观看| 五月激情婷婷综合| 国产黄色在线免费观看| 日韩欧美自拍| 97精品免费视频| 久久久久国产精品免费网站| 国产欧美日韩精品一区二区三区| 久久99国产精品成人欧美| 伊人久久大香线| 日本久久99| 99精品国产福利在线观看| 亚洲毛片网| 国产一区a| 久久综合日韩亚洲精品色| 欧美在线日韩在线| 91av精品视频| 国产精品露脸国语对白99| 国产普通话对白视频二区| 中文字幕国产综合| 色www永久免费网站国产| 国产精品福利在线| 精品伊人久久大线蕉地址| 国产成人91青青草原精品| 国产一区二区自拍视频| 国产成人精品一区二三区| 国产女人久久精品| 亚洲国产三级| 亚洲成人福利网站| 欧美日韩国产最新一区二区| 久久黄色一级片| 久久精品视频91| 婷婷99精品国产97久久综合| 亚洲一区二区三区高清不卡| 亚洲欧美久久| 在线视频一区二区三区三区不卡| 国产精选第一页| 香蕉久久av一区二区三区| 欧美亚洲第一区| 国产精品久久久久久免费| 国产主播在线观看| 综合色网站| 一区二区三区久久| 免费视频国产| 亚洲成a人一区二区三区| 久久99精品久久久久久久不卡| 亚洲精品成人a在线观看| 亚洲国产欧美日韩一区二区| 在线亚洲综合| 亚洲精品国产高清不卡在线| 久久婷五月| 免费国产吹潮视频在线 | 亚洲乱码一区| 午夜试看视频| 亚洲系列在线| 亚洲免费观看网站| 九九热国产视频| 国产成人高清视频| 亚洲一级成人| 久久福利一区二区| 日本欧美一区二区三区视频麻豆| 精品欧美一区二区三区免费观看| 国产精品视频久久久久久| 国产精品午夜在线播放a| 国产在线欧美日韩精品一区二区| 国产综合婷婷| 亚洲区在线播放| 亚洲国产中文字幕| 久久成人免费播放网站| 一区二区美女视频| 中文字幕另类| 亚洲国产天堂久久综合图区| 亚洲精品国产精品国自产| 麻豆网站在线免费观看| 99久久精品费精品国产一区二区| 国产免费久久精品久久久| 久久精品午夜视频| 国产区最新| 久久精品99无色码中文字幕| 免费精品视频| 日韩欧美中文字幕在线观看| 欧洲一区二区三区在线观看| 成人精品国产亚洲| 日本伊人精品一区二区三区| 日韩午夜伦| 99国产在线| 国产成人精品视频播放| 国产精品视频播放| 91精品国产丝袜| 久久久一级| 中文字幕91| 九九热国产精品视频| 久久婷婷国产麻豆91天堂| 综合伊人久久在一二三区| 五月天综合色| 久久香蕉国产线看观看亚洲片| 在线无码中文字幕一区| 亚洲精品自在线拍| 久久午夜综合久久| 日本h在线亚洲网站在线观看| 亚洲欧美字幕| 91精品免费视频| 精品国产91| 巨臀中文字幕一区二区视频| 91精品福利在线| 国产亚洲欧洲精品| 久久婷五月综合| 这里只有精品在线播放| 999国产精品999久久久久久| 视频一区日韩| 欧美日本中文字幕| 综合精品视频| 国产日韩欧美第一页| 国产精品麻豆视频| 狠狠色丁香久久婷婷综合五月 | 国产在线不卡视频| 91视频国产91久久久| 中文字幕亚洲激情| 亚洲性欧美| 88国产精品视频一区二区三区| 久久ri精品高清一区二区三区| 精品日本一区二区| 99pao在线视频精品免费| jvid在线精品观看| 久久精品呦女| 欧美成在线视频| 亚洲一区精品伊人久久| 久久成人黄色| 91久久免费视频| 伊人久久大香线蕉资源| 亚洲不卡视频在线| 国产精品一区二区在线播放| 色婷婷5月精品久久久久| 国产99视频精品免视看7| 国产精品99久久免费观看| 精品久久久99大香线蕉| 欧美中文在线观看| 国产日本欧美亚洲精品视| 亚洲一级免费毛片| www.亚洲视频| 国产悠悠视频在线播放| 国产精品第三页| 日韩欧美第一页| 日本a中文字幕| 精品国产a| 国产欧美在线观看一区二区 | 国产高清色播视频免费看| 午夜色婷婷| 久久婷婷婷| 欧美在线性| 亚洲人成综合在线播放| 国产二区在线播放| 中文字幕一区二区三区免费视频| 国产一级毛片a午夜一级毛片| 伊人网在线免费视频| 国产在线永久视频| 亚洲视频在线网站| 亚洲欧美一区二区三区九九九| 欧美一级日韩一级亚洲一级va | 99久久久久国产精品免费| 精品欧美一区二区在线看片| 久久久99精品久久久| 色综合久久综合网观看| 国产精品一区在线播放| 99久久免费国产精品m9| 国产精品igao视频| 精品福利一区二区免费视频| 精品久久国产| 2020国产免费久久精品99| 国产伦精一区二区三区| 色天使久久综合给合久久97色 | 天堂一区二区在线观看 | 亚州综合网| 欧美激情久久久久久久久| 亚洲伊人国产| 欧美成人一区二区| 手机看片久久高清国产日韩| 国产91综合| 亚洲人成网站色7777| 国产成人精品久久亚洲高清不卡| 国产网址在线| 亚洲欧洲一区| 国产精品高清一区二区三区不卡| 婷婷开心综合| 香蕉在线精品一区二区| 国产成人手机在线| 伊人青青青| 国产欧美精品午夜在线播放 | 日本一区二区三区精品视频| 久久99热这里只有精品| 国产一级片观看| 国产一级片观看| 日韩精品电影一区亚洲高清| 久久青草免费91线频观看不卡| 久久成年人电影| 亚洲午夜精品| 欧美一区二区三区免费观看视频| 亚洲国产成人精品区| 日韩成人在线观看| www.av在线视频| 亚洲精品美女久久久| 欧美亚洲国产精品久久高清| 毛片新网址| 久久精品三级| 亚洲欧美国产视频| 国产第一页在线播放| 免费视频88av在线| 久久网综合| 国产精品亚洲一区二区三区正片| 成人中文在线| 日韩一区二区三区在线视频| 国产综合久久久久久| 国产精品调教视频| 国产欧美日本在线观看| 在线亚洲播放| 激情久久久久久久久久久| 欧美成人小视频| 波多野结衣亚洲一区| 日韩在线二区| 亚洲综合丝袜| 高清国产性色视频在线| 国产亚洲欧美日韩综合综合二区| 国产精品lululu在线观看| 国产精品系列在线一区| 久青草视频在线观看| 欧美国产日韩第一页| 国产成人精品一区二区不卡| 天天综合网天天综合色| 亚洲伊人久久大香线蕉在观| 久久精品vr中文字幕| 久久6免费视频| 国产精品亚洲第一区二区三区| 精品亚洲综合在线第一区| 日韩欧美亚洲国产一区二区三区 | 亚洲精品午夜久久久伊人| 国产美女a做受大片在线观看| 国产一级视频免费| 亚洲视频免费在线| 九九精品视频一区二区三区| 亚洲一区二区中文字幕| 国产人成精品午夜在线观看| www.亚洲综合| 日本成人精品| 日韩视频久久| 欧美成在线播放| 国产成年网站v片在线观看 | 国产日韩成人| 免费精品久久| 久久精品国产精品亚洲艾| 亚洲国产精品免费视频| 91中文字幕| 97精品国产综合久久| 中文日韩欧美| 久久精品国产免费一区| 国产精品久久毛片完整版| 久久精品国产2020观看福利色| 日韩综合久久| 久久精品国产免费| 夜夜精品视频一区二区| 国产精品美女免费视频大全| 99精品视频只99有精品| 香蕉久久一区二区三区| 国产福利免费观看| 国产综合福利| 国产成人综合精品一区| 91福利国产在线观看香蕉| 久久精品大全| 国产精品免费视频一区二区三区| 九九色网站| 综合久久一区二区三区| 亚洲国产成人资源在线桃色| 一区二区三区日韩| 欧美日本韩国一区二区| 国产专区精品| 国产日批视频| 国产成人永久在线播放| 精品一久久香蕉国产线看观看下 | 国产精品视频一区二区三区经| 国产精品高清在线观看| 亚洲欧美日本欧美在线播放污| 午夜色婷婷| 一区二三国产| 欧美日韩在线不卡| 欧美成年黄网站色视频| 国产主播一区二区| 91精品国产一区| 亚洲国产精品欧美综合| 欧美精品一区视频| 国产在线观看成人| 欧美在线精品永久免费播放| 亚洲水蜜桃久久综合网站| 婷婷六月激情在线综合激情| 91在线视频免费| 自拍一区在线| 久久夜夜视频| 91成人国产| 一区二区三区视频在线播放 | 婷婷色亚洲| 久久精品7| 欧美综合自拍亚洲综合图片区| 色狠狠一区二区| 国产在线一区观看| 日韩国产欧美精品综合二区| 亚洲国产高清一区二区三区| 国产成人精品福利网站人| 91免费国产在线观看| 色综合欧美| 四虎国产永久在线精品免费观看| 精品日韩视频| 久热中文| 亚洲国产精品久久网午夜| 91精品久久久久久久久中文字幕| 五月天毛片| 亚洲欧洲日产国产最新| 日本一区二区视频在线观看| 国产三级一区二区| 97国产视频| 亚洲欧美激情另类| 日本国产中文字幕| 国产人免费人成免费视频| 亚洲精品在线网站| 国产成人久久精品一区二区三区| 亚洲视频在线观看免费| 亚洲一区二区三区不卡在线播放| 精品哟哟哟国产在线不卡| 日本高清在线一区| 伊人久久网国产伊人| 国产黄色在线播放| 久久精品福利| 亚洲一区在线播放| 亚洲高清视频免费| 久久女人天堂| 欧美亚洲国产视频| 一区二区视频免费观看| 九九久久国产精品免费热6| 国产69精品久久| 国产视频一区二区在线播放| 综合久久91| 一本色道久久综合一区| 日韩一区二区三区视频| 国产在视频线精品视频二代| 亚洲激情视频网| 中文字幕在线观看一区| 91精品国产91久久久久青草| 久久久久性| 亚洲日本欧美中文字幕001| 91资源在线播放| 欧美成人伊人久久综合网| 国产精品1024| 免费看国产精品麻豆| 亚洲高清成人| 日韩国产精品99久久久久久 | 国产成人精品白浆免费视频试看| 蜜桃久久| 亚洲欧美一区二区三区在线观看| 欧美日韩国产综合一区二区三区| 亚洲热在线观看| 国产日韩精品一区二区三区| 一区二区在线视频观看| 久久综合视频网| 日韩三级久久| 亚洲欧美经典| 亚洲国产欧美精品一区二区三区| 香蕉久久夜色精品国产小优| 不卡视频一区二区| 91在线亚洲综合在线| 国产精品黄在线观看观看| 国产欧美va欧美va香蕉在线| 在线观看日韩一区| 国产成人在线播放视频| 亚洲欧美日本另类| 99精品免费在线观看| 欧美国产日韩在线观看| 99热中文| 在线成人精品国产区免费| 九九成人| 色婷婷视频| 国产91对白在线播放| 欧美激情一区二区| 日本高清免费不卡视频| 福利一区二区在线| 久久久久久久综合| 中文字幕亚洲综合久久2| 国产免费a| 亚洲精品资源在线| 九九热最新视频| 欧美精品免费看| 99亚洲乱人伦精品| 日韩欧美综合在线| 国产一区二区丝袜女高跟鞋| 亚洲国产色图| 国产综合亚洲欧美日韩一区二区 | 婷婷中文在线| 亚洲三级在线看| 蜜桃久久| 国产精品亚洲综合天堂夜夜| 最新九九精品| 国产一区二区三区高清 | 国产一区二区不卡视频| 亚洲精品在线视频| 日韩在线第一区| 一区二区网站在线观看| 国产精品电影久久| 久久高清精品| 亚洲欧美视频在线| 91久久国产综合精品女同我| 国产综合91| 久久久久久麻豆| 91精品欧美一区二区三区| 亚洲欧洲国产经精品香蕉网| 精品伊人久久大线蕉色首页| 久久一区二区三区免费| 日韩字幕一中文在线综合| 这里是九九伊人| 狠狠色色综合网站| 亚洲品质自拍视频网站| 亚洲欧美色一区二区三区| 国产综合亚洲专区在线| 久久国产精品亚洲一区二区| 中文字幕久久精品| 91进入蜜桃臀在线播放| 亚洲每日更新| 午夜免费福利在线观看| 国产亚洲成在线播放va| 男人天堂网在线视频| 国产伦一区二区三区免费| 中文字幕成人在线| 日韩一区二区三区四区| 999精品免费视频| 亚洲精品在线免费看| 婷婷精品| 日韩成人中文字幕| 国产精品一区二区久久精品| 日韩国产片| 97成人免费视频| 97国产免费全部免费观看| 久草91| 在线观看亚洲精品国产| 亚洲欧美日韩国产综合| 日韩欧美不卡视频| 四虎国产视频| 亚洲一级视频在线观看| 亚洲福利国产| 视频一区二区中文字幕| 伊人网视频在线观看| 国产精品久久久久久久成人午夜| 中文字幕色在线| 国产观看精品一区二区三区| 免费伊人网| 欧美日韩加勒比一区二区三区| 国产福利精品在线| 欧美色图一区| 欧美日韩日本国产| 亚洲欧洲视频在线| 亚洲va中文va欧美va爽爽| 久久er热这里只有精品免费| 九九视频免费精品视频免费| 国产一区精品在线| 欧美日韩国产亚洲一区二区| 97r久久精品国产99国产精 | 99久久99久久久99精品齐| 久久精品免费| 亚洲国产精品激情在线观看| 一区精品在线| 亚洲福利网站| 国产综合激情在线亚洲第一页| 国产在线不卡| 国产香蕉视频| 日本一区二区中文字幕| 日韩专区在线| 国产一区二区三区在线观看视频| 国产黄色免费网站| 日韩欧美中文字幕在线播放| 日韩在线一区二区| 欧美日韩国产一区二区三区欧| 麻豆久久婷婷国产综合五月| 国产视频导航| 日韩国产欧美视频一区二区三区| 亚洲欧美综合另类| 亚洲美女精品视频| 99热在线免费播放| 国产黄色在线播放| 色婷婷在线视频观看| 激情五月婷婷色| 99精品这里只有精品高清视频| 国产91在线|日韩| 国产精品亚洲综合一区在线观看| 男人天堂av网| 国产综合激情在线亚洲第一页| 狠狠色丁香婷婷综合最新地址| 亚洲一区导航| 久久国产亚洲高清观看5388| 久久精品福利| 欧美精品三区| 夜夜狠狠| 久久精品伊人网| 综合欧美亚洲日本| 亚洲一区二区三区成人| 亚洲欧美日产综合在线看| 91日韩欧美| 伊人手机在线视频| 亚洲免费二区| 天天狠狠操| 91视频国产精品| 精品欧美高清一区二区免费| 成人手机视频在线观看| 亚洲第一区视频| 国产精品久久久久久久久岛| 亚洲视频在线观| 一区二区欧美视频| 日韩欧美国产亚洲制服| 亚洲天堂h| 亚洲激情网站| 亚洲影视一区二区| 国产一区二区三区亚洲综合| 欧美乱码视频| 视频一区国产精品| 亚洲日本va中文字幕区| 九九热欧美| 亚洲三级在线| 91福利一区二区| 亚洲精品在线观看视频| 99成人免费视频| 久久亚洲伊人| 精品久久久久久中文字幕专区 | 欧美成a人片在线观看久| 高清一区二区| 日韩亚洲欧美在线观看| 国产成人一区二区三区影院免费| 亚洲精品一二三区| 久久99精品久久久久久青青91| 亚洲国产毛片| 91久久夜色精品| 中文字幕一区在线播放| 奇米色88欧美一区二区| 亚洲制服一区| 久久成人免费网站| 国产精品好好热在线观看| 亚洲精品在线网| 精品欧美一区二区精品久久| 尤物国产在线| 91一区二区视频| 亚洲综合色一区二区三区| 黄色一级视频欧美| 欧美一区二区三区高清视频| 最新精品91探花免费播放| 97se亚洲国产综合自在线观看| 亚洲国产2017男人a天堂| 精品欧美日韩一区二区三区| 激情视频一区| 国产精品久久久久久免费播放 | 日日噜噜夜夜躁躁狠狠| 亚洲精品三区| 欧美亚洲国产精品久久| 色综合久久天天综合绕观看| 国产一区二区不卡视频| 狠狠色丁香久久婷婷| 久久这里只有精品视频99| 国产成人欧美一区二区三区vr| 亚洲综合社区| 日本在线视频一区二区| 日韩一区二区在线观看| 久久精品屋| 国产玖玖在线| 国产精品亚洲国产三区| 国产精品午夜国产小视频| 亚洲一区二区高清| 亚洲天堂网站| 91在线免费播放| 99视频在线免费看| 亚洲乱码一区| 国产免费a级片| 国产精品福利一区二区| 四虎影院久久久| 亚洲自拍中文| 免费看日韩| 综合久久99| 九九精品视频免费| 日韩激情中文字幕一区二区| 亚洲国产综合精品| 国产在线精品国自产拍影院同性 | 精品国产高清露脸在线观看| 日本成人不卡| 色综合小说久久综合图片 | 亚洲自拍偷拍区| 精品一区狼人国产在线| 亚洲精品人人| 国产日韩欧美一区二区三区视频| 亚洲一区二区中文字幕| 亚洲欧美自拍另类| 99久久免费国产精品热 | 国产亚洲欧美在线视频| 精品999久久久久久中文字幕| 欧美精品亚洲精品日韩经典| 91精品国产综合久久久久久| 国产亚洲欧美一区二区三区| 国内精品伊人久久| 久国产精品久久精品国产四虎| 国产a∨一区二区三区香蕉小说| 日韩国产在线观看| 91精品国产91热久久p| 国产亚洲一区呦系列| 中文字幕第一页亚洲| 免费a级片在线观看| 日韩精品中文乱码在线观看| 欧美福利小视频| 日韩精品成人a在线观看| 亚洲综合天堂| 综合色在线观看| 国产福利在线观看视频| 国产成人亚洲综合网站不卡| 在线播放精品一区二区啪视频| 一区二区三区视频在线观看| 青青草久久久| 色之综合网| 久久国产精品女| 精品国产日韩亚洲一区二区| 综合久久久久久| 日韩精品久久久久久久电影| 91精品国产亚洲爽啪在线影院| 久久久黄色| 伊人久久精品久久亚洲一区| 亚洲一区二区三区麻豆| 国产永久视频| 国产精品三级在线观看| 国产一区精品在线观看| 欧美成人a| 99久久99久久精品免费看子| 亚洲成a人v| 亚洲系列在线| 日韩亚洲欧美一区二区三区| 国产成人精品一区二三区| 色综合久久天天综合绕观看| 久久久久久久久一次| 激情总合网| 日本亚洲欧洲无免费码在线| 精品久久久中文字幕一区| 国产精品夜色视频一级区| 国产精品免费视频一区二区三区| 久久久久久久久中文字幕| 亚洲精品www久久久久久| 在线亚洲色图| 久久99国产亚洲精品| 日韩精品在线看| 5566中文字幕亚洲精品| 亚洲日本在线播放| 自拍视频一区二区| 亚洲欧美综合视频| 亚洲综合专区| 亚洲综合在线观看视频| 色综合天天色| 91在线免费播放| 综合激情在线| 亚洲天堂自拍| 久久亚洲精品无码| 日本亚州视频在线| 国产在线极品| 亚洲精品你懂的| 亚洲欧美综合久久| 亚洲视频天堂| 国产黄色免费网站| 久久久精彩视频| 狠狠色丁香婷婷| 国产亚洲精品aa在线观看| 日本免费久久| 国产精品日韩专区| 日本欧美一区二区免费视| 91福利国产在线观看一区二区| 国产精品久久久久免费| 成人精品视频网站| 亚洲国产精品日韩在线| 亚洲人免费| 欧美高清在线视频一区二区| 欧美日韩成人在线观看| 日韩中文字幕网| 精品国产午夜肉伦伦影院| 国产视频黄| 97av在线| 午夜精品久久久久久久99热| 国产成人宗合| 欧美精品在线一区二区三区| 五月国产综合视频在线观看| 亚洲一区小说区中文字幕| 亚洲欧洲久久| 亚洲一区二区三区麻豆| 国产午夜久久影院| 亚洲美女视频一区二区三区| 亚洲成人综合在线| 久久精品国产免费观看99| 99欧美精品| 丁香婷婷久久大综合| 国产在线91精品入口| 99久久精品免费观看国产| 国产成人精品本亚洲| 91av在线免费视频| 香蕉免费看一区二区三区| 久久精品综合一区二区三区| 青草精品在线| 国产日韩欧美亚洲| 亚洲欧洲日本在线| 国产精品青草久久福利不卡| 中文字幕二区| 香蕉99国内自产自拍视频| 黄色片久久久| 黄色片久久久| 精品久久久久久免费影院| 国产一级自拍| 伊人精品线视天天综合| 日韩欧美专区| 久久国产亚洲高清观看5388| 久久精品国产72精品亚洲| 久久久久久亚洲精品中文字幕| 久久国产精品二国产精品| 久久精品www| 依人成人综合网| 99热这里只有成人精品国产| a级免费网站| 亚洲区精品| 亚洲国产欧美日韩| 国产伊人精品| 久久国产精品99国产精| 久热这里只有精| 日韩久久久精品中文字幕| 久久美女精品| 国产高清免费在线| 亚洲人成电影院| 国产精品永久在线| 亚洲国产最新| 成人欧美日韩高清不卡| 欧美亚洲国产日韩| 国产在线日本| 欧美另类专区| 国产精品酒店视频| 欧美三级视频网站| 欧美另类专区| 国内黄色精品| 在线观看精品自拍视频| 亚洲精品日韩中文字幕久久久| 久久亚洲精品国产亚洲老地址| 亚洲国产福利| 日韩一区二区三| 亚洲日本人成网站在线观看| 午夜国产精品视频| 国产精品正在播放| 日韩欧美一区二区精品久久| 亚洲水蜜桃久久综合网站| 免费人成视网站在线不卡| 国产专区精品| 国产精品一级毛片不收费| 一区小说二区另类小说三区图| 午夜视频久久久久一区| 久草中文视频| 国产色视频在线观看免费| 亚洲天堂手机在线| 久久成人免费电影| 久久伊人精品综合观看99| 国产欧美在线不卡| 欧美精品破过程| 亚洲免费一级视频| 久久蜜视频| 亚洲精品在线观看视频| 伊人色播| 国产精品成人在线| 国内在线精品| 久久久精品久久久久久| 亚洲视频a| 成人久久久久| 国产福利小视频尤物98| 欧美日韩不卡中文字幕在线| 日本精品久久久久久久久免费| 国产欧美日韩一区二区三区在线| 亚洲伊人色一综合网| 九九精品免视频国产成人| 国产精品漂亮美女在线观看| 在线观看一区二区精品视频 | 国产污片在线观看| 久久www免费人成_看片美女图| 国产精品探花一区在线观看| 国产欧美一区视频在线观看| 久久精品99无色码中文字幕| 亚洲视频在线一区二区三区| 色综合视频一区二区观看 | 思思玖玖玖在线精品视频| 亚洲欧洲久久久精品| 欧美日韩不卡中文字幕在线| 日韩专区在线| 国产精品成人网| 99视频在线免费看| 九九精品久久久久久久久| 久久亚洲伊人中字综合精品| 国产精品国产三级国产专区不| 欧美一区二区三区性| 欧美福利在线| 亚洲高清资源在线观看| 午夜精品久久久久久久2023| 欧美亚洲国产精品蜜芽| 亚洲欧美自拍一区| 青青青免费在线视频| 国产v精品欧美精品v日韩| 九九热国产视频| 国产高清a| 国产成人鲁鲁免费视频a| 日韩免费成人| 伊人成影院九九| 欧美日韩精品高清一区二区 | 韩国在线观看一区二区三区| 九九全国免费视频| 国产不卡福利| 久久精品91| 激情综合色综合久久综合| 在线观看a国v| 欧洲精品在线观看| 国模娜娜一区二区三区| 97综合色| 婷婷综合久久狠狠色99h| 亚洲欧洲专线一区| 99久久99久久精品免观看| 小辣椒精品福利视频导航| 亚洲欧美精品中文第三| 久久精品伊人网| 福利一区二区视频| 亚洲人免费| 色综合天天色| 日本美女一区二区三区| swag国产精品一区二区| 深夜国产福利| 成人精品在线观看| 日韩国产欧美精品在线| 亚洲天堂黄色| 亚洲一区欧美日韩| 国产欧美另类第一页| 色伊人色成人婷婷六月丁香| 国产综合视频在线观看一区| 日韩欧美在线观看综合网另类| 久久夜色精品国产飘飘| 亚洲成人在线免费观看| 99视频免费看| 国产精品不卡在线观看| 成人网在线看| 色性综合| 精品福利一区二区三区| 东方伊人免费在线观看| 色婷婷资源网| 日本黄页网站免费| 成人午夜电影免费完整在线看| 激情欧美日韩一区二区| 一木道一二三区精品| 五月婷婷网址|